Western European HCP Market, 3Q18: Shipments Continue to Decline But Revenues More Stable
According to research by International Data Corporation (IDC), the Western European printer and multifunction (MFP) market decreased by 6.7% in unit terms in 3Q18 compared with the same period a year ago. This was lower than expectations as the inkjet markets declined in most areas. On a more positive note the market values declined at a much lower rate of 2.1% as the market continued to transition to higher-speed devices and color A3 devices.Laser markets performed better than expected, declining by only 1.2%. Color and monochrome remained relatively stable, with color shipments showing a marginal increase and monochrome shipments only showing a small decline in terms of units with a slight increase in the value of the monochrome market. The market did, however, see the continued decline of single-function printers and an increase in MFP products, notably monochrome devices.The inkjet markets suffered the most in terms of unit growth with 3Q shipments registering a 9.0% decline even though revenues declined at a slower rate. Most inkjet OEMs are showing lower sales of inkjet devices as fewer home users have a need for printer devices as they are printing less, but business inkjets also slipped back in 3Q. The business inkjet market is showing signs of transition as higher-priced and higher-specification devices are shipping in place of entry-level models; as a result the values for business inkjets increased. It must be noted that business inkjets grew strongly.The overall production markets saw a small decline, but as with office devices there was an increase in color laser shipments and a decline in monochrome. There was also an increase in labels and packaging models that shipped."The HCP market will show an overall decline in 2018 as the market transitions away from the printed page to the digital page, but the Western Europe market remains a sizeable opportunity for many," said Phil Sargeant, program director in IDC's Western European Imaging, Hardware Devices, and Document Solutions group. "Print remains an important attribute for many companies and it's clear that there are many transitions and opportunities that remain in areas such as color, ink, and even monochrome. Those suppliers utilizing their IT services, security, and environmental policies are seeing some success as a result."Main highlights:• The overall Western European hardcopy market contracted by 6.7% year on year in 3Q18, recording a shipment figure of 4.8 million units.• The main area of growth was in A3 business inkjets, an area that many OEMs are now beginning to focus more on.• In the laser markets overall color showed slight growth and though monochrome overall declined there was strong demand for A4 MFP products.• 85% of all hardcopy shipments are now from MFP products, an increase from the same period a year ago and from the previous quarter of 2Q18.
Key word:
Release time:2018-11-19 00:00 reading:1133 Continue reading>>
Baidu Backs Neuromorphic IC Developer
Swiss startup aiCTX has closed a $1.5 million pre-A funding round from Baidu Ventures to develop commercial applications for its low-power neuromorphic computing and processor designs and enable what it calls “neuromorphic intelligence.” It is targeting low-power edge-computing embedded sensory processing systems.Founded in March 2017 based on advances in neuromorphic computing hardware developed at the Institute of Neuroinformatics of the University of Zurich and ETH Zurich, aiCTX (pronounced “AI-cortex”) is developing “full-stack” custom neuromorphic processors for a variety of artificial-intelligence (AI) edge-computing applications that require ultra-low-power and ultra-low-latency features, including autonomous robots, always-on co-processors for mobile and embedded devices, wearable health-care systems, security, IoT applications, and computing at the network edge.Dylan Muir, senior R&D engineer at aiCTX, told EE Times that the company is building end-to-end dedicated neuromorphic IP blocks, ASICs, and SoCs as full custom computing solutions that integrate neuromorphic sensors and processors. “This approach ensures minimum size and power consumption and is fundamentally different from most other neuromorphic computing approaches that propose general-purpose solutions as a plug-and-play alternative to parts of machine-learning tool chains with conventional data paths.”He added, “We engineer spiking neural network and algorithmic solutions that implement computational neuroscience models of cortical computation. Our technology is based on over 20 years of research and development in neuromorphic models of cortical computation that started out at CALTECH in the mid-’90s and are still ongoing at the Institute of Neuroinformatics of the University of Zurich and ETH Zurich.”Baidu Ventures’ CEO, Wei Liu, said that they invested in aiCTX because it is different from other neuromorphic startups and corporations active in the field in that it has a unique technology and a product-driven focus. “They are developing complete commercial solutions, not simply designing computing fabrics,” he said.We asked Muir what that meant. He said that, at the moment, other neuromorphic solutions target desktop applications and are based on a standard clocked digital logic design flow. In contrast, aiCTX designs are either based on ultra-low-power mixed-signal analog-digital VLSI circuits or on fully asynchronous low-power hand-crafted digital designs (or both). “We are targeting applications that require ultra-low power (sub-mW to mW) always-on solutions for IoT edge-based computing on mobile and embedded systems that do not need to rely on the cloud,” said Muir.He added, “We are building demos around those applications and finding potential industrial partners. For example, we’re partnering with a health wearable company to provide ultra-low-power on-board signal processing using our neuromorphic processors.”Muir said that the company is currently finalizing its new DynapCNN chip, which is a scalable, fully configurable digital event-driven neuromorphic processor with 1M ReLU spiking neurons per chip for implementing spiking convolutional neural networks (sCNN). The chip supports various types of CNN layers (like ReLU, Cropping, Padding, and Pooling) and network architectures (like LeNet, ResNet, and Inception). The technology is aimed at always-on, ultra-low-power and ultra-low-latency event-driven sensory processing applications. Samples of the chip will be available during Q2 of 2019.In addition, aiCTX said that it is building a new family of neuromorphic chips that combine energy efficiency with features for low-latency, real-time end-to-end applications. The design will provide interfaces for converting analog sensory signals into spikes and for direct event-based input from dynamic-vision sensors, making the devices suitable for mobile health and robotics applications. This neuromorphic processor will tape-out by the end of 2018. The first development kits, along with a software development ecosystem, are planned to be released in Q3 of 2019.A fully neuromorphic smart vision processor is also under development by a joint venture between aiCTX and neuromorphic vision systems company iniVation. This is a compact, low-cost, single-chip solution for ultra-low-power (sub-mW) and ultra-low-latency (<10 ms) always-on IoT devices and edge-computing vision applications, such as gesture recognition, face or object detection, and surveillance. Samples of smart vision processors are planned for Q4 of 2019.In terms of business model, aiCTX is developing whole chip solutions for demonstrating and exploring potential applications but, in the long term, hopes to license and provide IP solutions. “The goal is to follow a model similar to that of Arm for the whole IoT edge-computing landscape,” commented Muir. “The IP provided by aiCTX will be tailored exactly to customer and application needs for maximum efficiency.”Muir said that because the company is developing what it believes is a completely new and disruptive approach to computing, this requires developments at all levels of the hierarchy from the basic computing devices to their design and configuration tools, the high-level algorithmic development, and the testing framework. Now that the optimal solutions and market needs are being identified, aiCTX can expand its chip design and system engineering activities and is starting to talk to investors for a Series A round in the next month. “Baidu Ventures’ investment will help us grow our team, so we can move faster on the applications we’ve identified,” he said.aiCTX VisionThe company told us that its vision is to develop this technology to solve AI problems and create a whole new field of research that will be called NI (for “neuromorphic intelligence”). Muir said that the landscape of computing is rapidly changing from bulky and power-hungry general-purpose computing systems to small, task-specific, low-power edge-computing embedded sensory processing systems.The spiking sensory and neural processing systems studied at the Institute of Neuroinformatics of the University of Zurich and ETH Zurich demonstrate that brain-inspired architectures can implement low-power computations efficiently and robustly. “The vision of the company is to exploit the know-how accumulated over the years in studying beyond-von-Neumann computational paradigms and to develop engineering solutions that have high potential in the growing IoT market,” added Muir.In particular, the last two years have seen tremendous leaps in state-of-the-art neural-network algorithms, especially in the context of application-oriented spiking neural networks. This has paved the path for demonstrable gains in the use of neuromorphic devices for solving complex pattern recognition and classification tasks.Muir summarized, “Our approach is to deliver not only new hardware tailored for a given application but to provide a full working solution — that means that we also develop neural-network configurations for the neuromorphic devices in-house. We have a research team within aiCTX to build full applications around our neuromorphic hardware.”
Key word:
Release time:2018-11-19 00:00 reading:1098 Continue reading>>
Imec and ASML announce <span style='color:red'>EU</span>V lithography collaboration
imec, the research and innovation hub in nanoelectronics and digital technologies, and ASML, a leading developer of lithographic equipment, have announced the next step in their ongoing and extensive collaboration.The two are looking to accelerate the adoption of EUV lithography for high-volume production, including the current latest available equipment for EUV (0.33 Numerical Aperture, NA). Moreover, they are looking to explore the potential of the next-generation high-NA EUV lithography to enable printing of even smaller nanoscale devices advancing semiconductor scaling towards the post 3 nanometer Logic node. As a result they have established a joint high-NA EUV research lab.In 2014, they created a joint research centre, the Advanced Patterning Center, to optimize lithography technology for advanced CMOS integration and to prepare the ecosystem to support advance patterning requirements. The next stage of this co-operation will see the installation of ASML’s most advanced and high-volume production dedicated EUV scanner (NXE:3400B) in imec’s cleanroom.Using imec’s infrastructure and advanced technology platforms, researchers and partner companies will be able to pro-actively analyse and solve technical challenges such as defects, reliability and yield, and as such help to accelerate the EUV technology’s industrialisation.With a 250W light source, ASML’s newest EUV system throughput will be more than 125 wafers per hour, one of the industry’s most important requirements for high-volume production. The NXE:3400B will also be equipped with the latest alignment and leveling sensors, to enable optimal process control at this high throughput. This will facilitate the overlay matching of the NXE:3400B to that of the latest immersion scanner, NXT:2000i, that will also be installed in imec’s cleanroom in 2019. In addition, ASML and imec will expand the metrology capability with new ASML YieldStar optical metrology and ASML-HMI Multi-electron beam metrology equipment, allowing more accurate and faster evaluation of nanoscale structures.The joint high-NA EUV research lab will see researchers from both organisations experiment with the next generation of EUV lithography at higher NA. Systems with a higher NA project the EUV light onto the wafer under larger angles, improving resolution, and enabling printing of smaller features. More specifically, the new high-NA EUV system, EXE:5000, that will be installed in the joint research lab, will have an NA of 0.55 instead of 0.33 in current NXE:3400 EUV systems.Already, the first joint scientific projects to facilitate the introduction of high-NA EUV, are ongoing. In the joint research lab, ASML and imec will perform research on the manufacturing of the most advanced nanoscale devices by high-NA EUV and assist the ecosystem of equipment and material suppliers to prepare for the introduction of high-NA EUV technology to the industry.Commenting Luc Van den hove, President and CEO of imec, said: “The new EUV scanners and ASML metrology equipment will allow our industry partners to perform collaborative research on the most advanced and industry relevant lithography and metrology equipment. ASML and imec have a nearly 30 year long tradition of joint research, leading to breakthrough patterning research to advance the semiconductor industry roadmap.”
Key word:
Release time:2018-10-26 00:00 reading:1385 Continue reading>>
U.S. announces trade talks with Japan, the <span style='color:red'>EU</span>, and UK; Action will benefit semiconductor industry
Last week, the Office of the U.S. Trade Representative (USTR), on instruction from President Trump, notified Congress that the administration intends to begin bilateral trade negotiations with Japan, the European Union(EU), and the United Kingdom.SEMI stands strong for free trade and open markets, and roundly supports efforts to increase market access and tap into more foreign economies, especially economies like Japan and the EU, both of which are central to the semiconductor industry. The semiconductor industry, which enables the $2 trillion electronics market, is built on global commerce. SEMI members rely on a vast network of supply chains that span the globe, bringing together components and tools made all around the world and assembled into a single sub-system that is then integrated into a larger tool used in the chipmaking process.These free trade agreements will reduce tariffs, which will result in cost savings and productivity gains, and allow SEMI members to expand and grow. But the benefits of modern free trade agreements extend well beyond tariff reduction. Indeed, these trade deals will establish and enhance global trade rules that enable companies to innovate and compete fairly on a level playing field. Trade agreements strengthen certainty and further business continuity.While the exact nature and negotiation timelines for the talks remain unclear, SEMI will engage the administration, urging it to maintain high standards in these agreements, such as:Maintain strong respect for intellectual property and trade secrets through robust safeguards and significant penalties for violatorsRemove tariffs and non-tariff barriers on semiconductor products as well as products that depend on semiconductorsSimplify and harmonize the customs and trade facilitation processesCombat any attempts of forced technology transferPrevent use of data localization measures and enable the free flow of cross-border data flowsEnd discriminatory and/or burdensome regulatory practicesEnsure standards in all forms are market-orientedCreate rules for state-owned enterprises to ensure fair and non-discriminatory treatment of all companiesAccording to Trade Promotion Authority (TPA), the U.S. law that guides trade votes in Congress, negotiations with each country can only begin 90 days after last week’s notification. During that period, there will be intensive consultation with Congress and stakeholders. This means, at the earliest, talks can start on January 14, 2019. (Bear in mind that discussions with the UK can only begin in earnest once the UK has formally left the European Union on March 29, 2019.)The Trump administration’s announcement comes after the U.S. imposed or threatened tariffs on imports on all trading partners, including the EU and China. All told, the U.S. has imposed tariffs on more than $300 billion worth of goods. SEMI has weighed in on the detrimental nature of tariffs, arguing that tariffs on China will ultimately do nothing to address the concerns with China’s trade practices. This sledgehammer approach will introduce significant uncertainty, impose greater costs, and potentially lead to a trade war, ultimately undercutting the ability of semiconductor companies to sell overseas, stifling innovation and curbing U.S. technological leadership.Elsewhere, the Comprehensive and Progressive Agreement for Trans-Pacific Partnership, the multilateral trade deal that links 11 Asia-Pacific economies, is well on its way to taking force. Canada will be taking its final steps to ratify the deal, joining Mexico, Japan and Singapore. The deal, formerly known as the Trans-Pacific Partnership, should take effect by the first half of 2019.
Key word:
Release time:2018-10-23 00:00 reading:1142 Continue reading>>
Samsung Ramps 7nm <span style='color:red'>EU</span>V Chips
The race is on to get the first chip made with extreme ultraviolet lithography out the foundry door.Samsung said it has taped out and is ramping multiple 7nm chips using EUV following a similar announcement earlier this month from its larger foundry rival TSMC. Samsung also gave its supporting IP and EDA infrastructure a boost and detailed its packaging capabilities in an effort to catch up with TSMCs ecosystem.The South Korean giant also announced it is sampling 256-GByte RDIMMs based on its 16-Gbit DRAM chips and plans for solid-state drives with embedded Xilinx FPGAs. But the 7nm news was the highlight of the event, a milestone fueled in part by its internal development of an EUV mask inspection system.The 7LPP process will deliver up to a 40% shrink and up to 20% higher speeds or 50% lower power consumption compared to its 10nm node. Separately, Samsung said it now has 50 foundry partners including Ansys, Arm, Cadence (which has digital and analog flows for 7nm), Mentor, Synopsys and VeriSilicon, which said it taped out a chip in the 7nm process.The process is said to have attracted customers who include Web giants, networking companies and mobile vendors such as Qualcomm. However, Samsung expects no customer announcements until early next year.EUV systems supported 250W light sources on a sustained basis since early this year at Samsung’s S3 fab in Hwaseong, South Korea, said Bob Stear, director of foundry marketing at Samsung. The power level drove throughput up to the needed 1,500 wafers/day for production. Since then, EUV systems have hit a peak 280W, and Samsung targets 300W, he said.EUV eliminates a fifth of masks required with traditional argon-flouride systems, raising yields. However, the node still requires some multi-patterning in base layers at the front-end-of-line, said Stear.Samsung developed its own system to compare and fix expected and actual mask patterns to speed EUV into production. G. Dan Hutcheson of VLSI Research described it as a mask review system because it’s unclear if it is as automated as typical third-party inspection systems.The 7nm node will meet Grade 1 AEC-Q100 automotive standards by the end of the year. In packaging, Samsung is developing an RDL interposer that will enable up to 8 HBM stacks on a single device. It is also working on a process to embed passives in a substrate to save space for data center chips.Both Samsung and TSMC will apply EUV probably only to two chip layers at 7nm, so far not using protective pellicles that are still in development, said Handel Jones, president of International Business Strategies. They will extend EUV to perhaps six layers at 5nm nodes, but that may not come until 2021 when pellicles will have sufficient durability and light-transmission capabilities, he said.“Samsung is about six months ahead with an EUV process because they have been using the systems with DRAM and logic, but TSMC is way ahead in enablement with IP and tools and is working with more customers such as AMD, Apple, HiSilicon and Nvidia, among others,” said Jones.Another analyst said Cisco, a customer of the former IBM foundry business, is now working with TSMC for 7nm products. Qualcomm is expected to split its 7nm work between TSMC and Samsung.Nevertheless, Jones forecasts the South Korean giant’s revenues, on track to hit $90 billion this year, could leap to more than $150 billion by 2027. The prediction is based more on growth in its memory business, where he estimates Samsung will rise to command 50% of DRAM and 45% of NAND sales.Samsung is on track to start production of 5 and 4nm nodes before June, providing evolutionary improvements with the same device sets. PDKs for the nodes could be released before the end of the year, and a second shell for EUV production is being built next to the S3 fab, said Stear.The three nodes will move the contact closer to and eventually over the gate to increase density and reduce metal pitches. It’s an approach Intel previously discussed for its 10nm node that is still not in volume production.“We’re doing contact-over-gate in steps. It’s a hard problem to solve, as some are finding out,” said Stear.Samsung announced in May its plans to move to gate-all-around transistors also described as nanosheets for a 3nm node. It aims to drop nominal voltage to a new low to continue power savings. First cut of a version 0.1 PDK for a 3nm node could be available by June.Samsung has a laundry list of packaging options already available in house.In its core memory business, Samsung said it is sampling 256GByte RDIMMs made with its 16Gbit chips. The cards running at DDR4 speeds up to 3200 MHz and supporting 50ns reads and writes should be in production before the end of the year.The chips are made in a 1y-nm process first described a year ago. It was not clear whether EUV is being applied to the 1y process. However, follow-on 1z and 1a nodes will increasingly use EUV, Samsung’s head of DRAM development, Seong Jin Jang, suggested in a talk here.Samsung showed eight of the DIMMs running on an AMD Epyc server. They hit 3.2 million operations/second at 170W compared to its existing 128GB cards delivering 3.8 million ops/s at 225W.Ultimately, Samsung aims to boost DIMMs to 768 GBytes. It also aims to raise HBM data rates to 512 GB/second from 307 GB/s today. GDDR6 graphics memories will hit 22 Gbits/s from 18 Gbits/s today, and LPDDR memories will fall from 24 mW/GB to 12 mW/GB, he added without providing time frames.Separately, Samsung announced plans for smart solid-state-drives (SSDs) using embedded Xilinx Zynq FPGAs to bolster performance 2.8-3.3x. The devices target a wide range of database, AI, video and storage applications.The SSDs will provide an easier way to scale performance than matching banks of standard FPGAs to separate accelerators, the company said. The products, still in a prototype phase, will use a range of densities and medium-grade FPGAs.The smart SSD is so far only a prototype without specs or a delivery date 
Key word:
Release time:2018-10-18 00:00 reading:2066 Continue reading>>
Air Products to expand supply for Samsung Electronics’ semiconductor fab in Giheung, South Korea
Air Products (NYSE : APD ) today announced it has been awarded by Samsung Electronics additional gaseous nitrogen and hydrogen supply to its semiconductor fab in Giheung, South Korea.Air Products, who has been supplying industrial gases to Samsung Electronics’ Giheung site since 1998, will invest in building a new air separation unit, multiple hydrogen plants, and pipelines, which are scheduled to be operational in 2020 to supply the customer’s increased demand.“We are proud to expand our longstanding relationship with Samsung Electronics and have their continued confidence in our ability to support their technological development and growth plans,” said Kyo-Yung Kim, president of Air Products Korea. “Our latest investment once again reinforces Air Products’ commitment to serving our strategic customer, as well as the broader semiconductor and electronics industries, with our safety, reliability, efficiency and excellent service.”Air Products supplies many of Samsung’s operations worldwide, including its semiconductor cluster in the north region of South Korea spanning Giheung, Hwaseong and Pyeongtaek. In Pyeongtaek, the company has been undertaking a multi-phase expansion project to support Samsung Electronics’ multibillion dollar fab.A leading integrated gases supplier, Air Products has been serving the global electronics industry for more than 40 years, supplying industrial gases safely and reliably to most of the world’s largest technology companies. Air Products is working with these industry leaders to develop the next generation of semiconductors and displays for tablets, computers and mobile devices.
Key word:
Release time:2018-09-11 00:00 reading:1259 Continue reading>>
Intel Ceding Leadership in <span style='color:red'>EU</span>V
The few chipmakers that lead technology development are betting that by next year extreme ultraviolet lithography (EUV) will take transistor densities on semiconductors another step closer to their physical limits.Intel, once the world’s biggest chipmaker, appears to have given up efforts to lead the pack in EUV. The company was among the first to start EUV development in the late 1990s.Intel will not be inserting EUV anytime soon, according to Mark Li, an electronics engineer and analyst with Bernstein. The company is having difficulties ramping 10nm, and EUV in Intel’s 7nm, expected several years from now, remains an open question, he adds.In the meantime, Samsung and TSMC are pressing ahead with EUV, albeit cautiously. While Samsung and TSMC are developing EUV for introduction in 2019, the rest of the world’s major chipmakers appear to be falling behind.Intel, for now, appears to be a distant third in the race.“Intel has effectively lost its manufacturing leadership,” according to Mehdi Hosseini, an analyst with Susquehanna.Globalfoundries last year said it expects to use EUV tools in 2019 production flows to make contacts and cut masks.Samsung will introduce 7nm, the newest node, later than TSMC but with EUV, according to Li. While TSMC's enhanced version of 7nm, called 7nm+, will be slightly later with fewer EUV layers, the flexibility of having both EUV and non-EUV versions will be an advantage, he says.Samsung has consistently planned for EUV insertion with a minimum of 8-10 layers at 7nm compared with a few layers that TSMC has planned at 7nm+, according to Hosseini.Intel may be biding its time until the technology is more mature.The company told EE Times last year that it is committed to bringing EUV into production as soon as the technology is ready at an effective cost. Intel may not insert EUV into its process technology until late 2021, according to a forecast from Bernstein.“It now appears that Samsung's aggressive plans have backfired, and prospective customers are not so pleased with Samsung's 7nm process recipe” Hosseini said.Hosseini added that Susquehanna doesn't believe that Globalfoundries had gained much traction at 7nm. Globalfoundries subsequently announced that it suspended work on 7nm node, and will lay off nearly 5% of its workforce and make its ASIC group a wholly-owned subsidiary so it can partner with one of the remaining 7nm foundries.The chip industry’s cautious adoption of EUV lithography will probably not have an impact on TSMC’s business with Apple, according to Bernstein’s Li.“Though Apple may not be using EUV next year, we believe TSMC will retain Apple's exclusive processor business,” he said. “We also don't think this will negatively impact TSMC's EUV plan.”TSMC will be able to bring EUV to mass production in the second half of 2019 as many customers, including mobile, GPU and cryptocurrency miners, are interested in 7nm+, Li said.For now, TSMC leads its competitors with the rollout of 7nm technology, and that’s one of the key reasons the company has been able to increase business with Apple and other key customers, according to Susquehanna's Hosseini.“TSMC appears to be winning most of the leading-edge design wins due to better 7nm process technology performance, lower power consumption and better area density,” he told EE Times. “TSMC’s 7nm is expected to account for more than 20 percent of the company’s revenue in the December quarter as the customer mix includes more than 50 different product tapeouts for diverse applications including APs, GPUs, server CPUs, network processors and FPGAs.”Technology leadership should help TSMC diversify its customer base in the future.“TSMC will increasingly benefit from non-smartphone markets over time as new growth drivers start to inflect: 5G basestations, cloud computing, autonomous vehicles and AI are all nascent but important long-term opportunities in high-performance compute that require leading-edge technology,” according to Arete analyst Brett Simpson.
Key word:
Release time:2018-09-03 00:00 reading:1114 Continue reading>>
 50 <span style='color:red'>EU</span>V Systems Set to be Shipped by ASML by 2019
Dutch semiconductor equipment vendor  ASML said Wednesday it is on track to ship 20 extreme ultraviolet (EUV) systems in 2018 and expects to ship at least 30 more in 2019.The company's estimates came as part of ASML's second quarter financial report, which included better-than-expected sales of EUV tools and overall sales of about $3.2 billion. "Gross margin was slightly above our guidance, reflecting the strength of our DUV and applications business and progress in EUV profitability," said ASML CEO Peter Wennink.ASML shipped four EUV systems in the second quarter, one more than forecast, as logic customers prepare to ramp next-generation devices starting later this year, Wennink said.EUV — the successor to the workhorse deep ultraviolet (DUV) technology in advanced semiconductor manufacturing — is finally on the cusp of production after years of delays. Leading-edge semiconductor manufacturers include Samsung, Intel and TSMC are planning to use EUV in volume production beginning in the next year, though concerns remain about the availability of the EUV power source and other items in the EUV supply chain, including pellicles.ASML says it has now demonstrated four-week availability of well above 85% on a number of its new NXE:3400B EUV systems and is executing several programs to improve consistent availability to over 90% in 2019.Wennink said ASML's deep-ultraviolet lithography business continues to thrive, driven largely by the memory market, which  continues to require a significant number of lithography systems at least throughout this year and into 2019. After an excellent first half of 2018, we expect the second half."After an excellent first half of 2018, we expect the second half to be stronger, with improved profitability and continued growth from Q3 to Q4,” Wennink said.For the third quarter,  ASML said it expects sales of between 2.7 billion and 2.8 billion euro (roughly $3.15 billion to $3.26 billion).
Key word:
Release time:2018-07-23 00:00 reading:1129 Continue reading>>
ASML to Ship 20 <span style='color:red'>EU</span>V Systems in 2018
Dutch semiconductor equipment vendor  ASML said Wednesday it is on track to ship 20 extreme ultraviolet (EUV) systems in 2018 and expects to ship at least 30 more in 2019.The company's estimates came as part of ASML's second quarter financial report, which included better-than-expected sales of EUV tools and overall sales of about $3.2 billion. "Gross margin was slightly above our guidance, reflecting the strength of our DUV and applications business and progress in EUV profitability," said ASML CEO Peter Wennink.ASML shipped four EUV systems in the second quarter, one more than forecast, as logic customers prepare to ramp next-generation devices starting later this year, Wennink said.EUV — the successor to the workhorse deep ultraviolet (DUV) technology in advanced semiconductor manufacturing — is finally on the cusp of production after years of delays. Leading-edge semiconductor manufacturers include Samsung, Intel and TSMC are planning to use EUV in volume production beginning in the next year, though concerns remain about the availability of the EUV power source and other items in the EUV supply chain, including pellicles.ASML says it has now demonstrated four-week availability of well above 85% on a number of its new NXE:3400B EUV systems and is executing several programs to improve consistent availability to over 90% in 2019.Wennink said ASML's deep-ultraviolet lithography business continues to thrive, driven largely by the memory market, which  continues to require a significant number of lithography systems at least throughout this year and into 2019. After an excellent first half of 2018, we expect the second half."After an excellent first half of 2018, we expect the second half to be stronger, with improved profitability and continued growth from Q3 to Q4,” Wennink said.For the third quarter,  ASML said it expects sales of between 2.7 billion and 2.8 billion euro (roughly $3.15 billion to $3.26 billion).
Key word:
Release time:2018-07-20 00:00 reading:1142 Continue reading>>
Google to Appeal Record <span style='color:red'>EU</span> Fine Over Android
Google said it plans to appeal a record $5.1 billion antitrust fine handed down by the European Union regulators for restrictions imposed on Android device manufacturers and mobile network operators.The European Commission announced the fine Wednesday, saying Google breached EU antitrust rules by placing contractual restrictions on device manufacturers who obtain Google's proprietary Android apps and services to run on the open-source Android operating system.Specifically, the commission found Google's bundling of its Google Search app and Google Chrome browser along with its Google Play Store — all of which come preinstalled on Android smartphones — to constitute "illegal tying" in violation of EU rules. The commission also found that Google offered financial incentives to device manufacturers and mobile operators if they exclusively pre-installed Google Search on devices and said Google has prevented device manufacturers from using any alternative version of Android that was not approved by Google.Google's primary business is internet search, were the company has a market share of more than 90% across most of the 31-state European Economic Area, the commission said."Google has used Android as a vehicle to cement the dominance of its search engine," said Margrethe Vestager, the EC commissioner in charge of the competition policy, in a press statement. "These practices have denied rivals the chance to innovate and compete on the merits. They have denied European consumers the benefits of effective competition in the important mobile sphere. This is illegal under EU antitrust rules."The EC has been taking an increasingly tough stance against Google and other U.S. tech firms. Last year, the EC fined Google $2.7 billion for abusing its dominance as a search engine by giving an advantage to Google's own comparison shopping service.Google's parent company, Alphabet, said in a brief statement that the company would appeal the decision but that it would take a $5.07 billion charge in its fiscal second quarter to account for the fine. Assuming that the appeal is filed, the case is likely to take years to wind its way through the appeals process.Google CEO Sundar Pichai posted a blog Wednesday criticizing the EC ruling for being off base, arguing that Android gives consumers more choice, not less.The posting read, in part: "The decision ignores the fact that Android phones compete with iOS phones, something that 89 percent of respondents to the commission’s own market survey confirmed. It also misses just how much choice Android provides to thousands of phone makers and mobile network operators who build and sell Android devices; to millions of app developers around the world who have built their businesses with Android; and billions of consumers who can now afford and use cutting-edge Android smartphones."
Key word:
Release time:2018-07-20 00:00 reading:1400 Continue reading>>

Turn to

/ 6

  • Week of hot material
  • Material in short supply seckilling
model brand Quote
RB751G-40T2R ROHM Semiconductor
BD71847AMWV-E2 ROHM Semiconductor
MC33074DR2G onsemi
TL431ACLPR Texas Instruments
CDZVT2R20B ROHM Semiconductor
model brand To snap up
BU33JA2MNVX-CTL ROHM Semiconductor
BP3621 ROHM Semiconductor
STM32F429IGT6 STMicroelectronics
TPS63050YFFR Texas Instruments
ESR03EZPJ151 ROHM Semiconductor
IPZ40N04S5L4R8ATMA1 Infineon Technologies
Hot labels
ROHM
IC
Averlogic
Intel
Samsung
IoT
AI
Sensor
Chip
About us

Qr code of ameya360 official account

Identify TWO-DIMENSIONAL code, you can pay attention to

AMEYA360 mall (www.ameya360.com) was launched in 2011. Now there are more than 3,500 high-quality suppliers, including 6 million product model data, and more than 1 million component stocks for purchase. Products cover MCU+ memory + power chip +IGBT+MOS tube + op amp + RF Bluetooth + sensor + resistor capacitance inductor + connector and other fields. main business of platform covers spot sales of electronic components, BOM distribution and product supporting materials, providing one-stop purchasing and sales services for our customers.

Please enter the verification code in the image below:

verification code