英特尔大规模量产Intel 4工艺 采用<span style='color:red'>EUV</span>光刻
挥别国产存储上半年,<span style='color:red'>EUV</span>导入、DDR更迭下半场如何走?
在几个月就能历经一轮“生死劫”的前沿科技产业,变化本就是主旋律。步入 2020 年,黑天鹅齐飞,诸多新变量的引入,使存储业者面临更多不确定性。 回顾存储产业上半年,一些事件值得回味,一些变动指向未来,全球半导体观察带您梳理观察重点。 看亮点1. 国产存储“芯”势力国产替代预期催化,半导体成为上半年科技主题基金重仓焦点股,可谓风头十足。高景气度持续下,细分赛道龙头公司也纷纷迎来高速增长拐点。 作为国内最大、全球第四大 NOR 芯片供货商,兆易创新开年不久便见识了半导体资本狂潮。2 月 13 日,兆易创新股票涨幅超 9%,股价创历史新高,总市值达到 1020.73 亿元,成为国内第六家市值破千亿的半导体公司。 不过,一些投资人直呼“过热”并提醒当下静态估值可能偏高,市场也很快发出“入场需谨慎”的信号。 国产存储制造在今年取得的突破,普通人也能感受得到。能买到国产固态硬盘 SSD 和内存条的消费者开始体会,“国产存储替代”趋势着实摸得着。 4 月 13 日,紫光集团旗下的长江存储宣布其 128 层 QLC 3D NAND 闪存研发成功。9 月 10 日,长江存储宣布推出两款消费级固态硬盘 SSD 产品。 值得一提的是,长江存储布局多项专利,技术几乎都是自主研发。长江存储独有的 Xtacking 架构可以在两片独立的晶圆上加工外围电路和存储单元,使 NAND 拥有更高的 I/O 接口速度,实现更高的存储密度以及更小的芯片面积。 进入 5 月,多款搭载长鑫存储 10 纳米级 DDR4 芯片的内存条上市,首款中国芯的光威弈 PRO DDR4 内存条由深圳嘉合劲威制造。中国自主研发的 DRAM 芯片终于投入市场,内存芯片产业史又写下一笔。 长鑫存储不仅研发出 19 纳米工艺的 DRAM 芯片,填补了国产空白,更是打通了从设计到生产制造的全流程。 国际内存芯片市场在迎来中国玩家后,未来将看到更多中国面孔。紫光集团重返 DRAM 赛场,兆易创新等国内企业也已入局。 2. 国际厂商激战升级,DRAM 进入 EUV 时代2020 年一季度,伴随 DRAM 价格回暖,存储龙头厂商的交锋也显得更为激烈。 目前,三星电子、SK 海力士和美光科技占据全球 DRAM 市场超过九成的份额。其中,居于前两位的三星和 SK 海力士,都将在 DRAM 生产中导入极紫外光光刻设备 EUV 技术。 最先跨入 EUV 时代的自然是三星。3 月 25 日,三星宣布成功出货 100 万个业界首款使用 EUV 的 10 纳米级 DDR4 DRAM 模组,且已经完成了全球客户评估。 三星第四代 10 纳米(D1a)、更先进 10 纳米的 DRAM 会开始使用 EUV,预计 2021 年开始批量生产基于 D1a 的 DDR5 DRAM 和 LPDDR5 DRAM。 SK 海力士的相关内部人士透露,公司已开始研发第 4 代 10 纳米级制程(1a)的 DRAM,内部代号为“南极星”,预计将在制程中导入 EUV 技术。 2020 年的变数实在太多,业内人士观测,存储器厂商皆不轻易扩增产能,反而以优化制程技术的方式来增加其供应的能力。工艺提速的同时,预计基于 EUV 技术的存储器生产市场竞争将会更加激烈。 EUV 技术确有降本功效,门槛却极高,是否采用值得再三评估。美光企业副总裁、中国台湾美光董事长徐国晋表示,美光不打算跟进,目前并无采用 EUV 计划。 3.DDR5 稍慢,LPDDR5 引领新一轮产品竞争主流内存规格在今年有何进展? 相比量产和应用步伐稍缓的 DDR5,主要运用智能手机等移动终端市场的低功耗 LPDDR 则进展神速,且已在终端产品领域掀起浪潮。 今年 2 月,美光宣布交付全球首款 LPDDR5 芯片。此后,小米 10 全线采用 LPDDR5 内存芯片,供应商为美光和三星。 小米搭载 LPDDR5 芯片消息一出,努比亚总裁倪飞紧随其后宣布红魔 5G 全系列标配 LPDDR5。两天后,Realme 副总裁徐起宣布 realme 真我 X50 Pro 全系标配 LPDDR5。 从采用效果来看,根据美光提供的参数,LPDDR5 相较 LPDDR4 整机省电 5%~10%,续航延长 5%~10%。 SK 海力士计划今年量产 DDR5,三星计划 2021 年基于 D1a 工艺大规模量产 DDR5,美光 1Znm 制程的 DDR5 寄存型 DIMM(RDIMM)已开始出样。 TrendForce 集邦咨询表示,DDR5 应用场景以计算机、服务器产品为主,但需要配合主流 CPU 的规格,预计到 2021 年的下半年才能在市场上看到一定数量的产品。 看趋势1. 国产替代提速,从 0 到 5%半导体国产替代口号响亮,自给率极低的存储器无疑有着最强音。 根据中国海关数据,2019 年,我国进口了价值 3040 亿美元的集成电路,超三分之一为存储器。而在 2018 年,国产存储的量产量为零。 DRAM 和 NAND Flash 是最主流的存储器产品。在 DRAM 领域,三家国际厂商把控全球主要市场份额。受益于长鑫存储 DRAM 芯片推出,中国存储器开始实现中低端领域的部分替代。 NAND Flash 制造厂长江存储新工厂目前有一座 12 英寸晶圆厂,满产产能为 10 万片 / 月。长江存储计划到年底,其位于武汉的新工厂可以月产量提高 3 倍,达到 6 万片的规模。 2020 的上半年,见证了不少国产存储制造的突破进展。《日经亚洲评论》报道指出,中国存储器产量的全球占比将在今年提升到 5%。 2. 价格罕见拉升,但压力仍在现货渠道部分存储器颗粒现货价格上涨,引发市场高度关注是否会带动存储器产业反转向上。TrendForce 集邦咨询表示,DRAM 现货价格出现久违涨势,但下半年 DRAM 价格仍有压力。 TrendForce 集邦咨询还表示,观察目前 DRAM 市场,consumer DRAM 仅占整体 DRAM 市场消耗量约 8%,涨跌关键仍在于供需双方的库存水位,以及主流 server DRAM 的采购动能何时回温。 在 data center 与 enterprise server 业者尚未重启新一轮补货前,DRAM 价格压力仍在,现货价格反弹可能仅是短暂效应。 3. 扩产还是缩减开支?积极预测与审慎现实2018 年 9 月,存储器景气度开始下行,在去年三季度触底并开始回暖。存储市场显现复苏态势后,拉高半导体产业整体预期。市场预测,自 2020 年,全球半导体企业将开始进行扩产,2021 年半导体产业新增产能可望创下历史新高。 但热闹是结构性的,或者说,新冠疫情等黑天鹅来了后,难免遭遇现实考量。 分析人士指出,COVID-19 影响显著,预计在可预见的未来将继续对存储器市场产生影响。考虑到市场的不确定性,为确保存储器行业的长期健康发展,存储器供应商必须谨慎地作出应对。 结语不管是回顾还是展望,国产替代无疑是备受瞩目的线索。EUV 技术导入、DDR 世代更迭、龙头厂商激战升级之外,以长江存储、长鑫存储、兆易创新为代表的本土厂商在应对本土供应难题的同时,也开始因突破性进展放出更大声量。 挥别国产存储极具里程碑意义的 2020 上半年,国内存储厂商也迎来发展良机。
发布时间:2020-10-13 00:00 阅读量:1376 继续阅读>>
传三星从比利时获得<span style='color:red'>EUV</span>光刻胶 半导体制造加速摆脱日本
日本对韩国发起的贸易制裁已经过去一个月了,分别是7月4日、8月7日推出了两波禁令,严控重要材料对韩国出口,其中第一波制裁中的光刻胶、氟化聚酰亚胺和氟化氢最为关键,对半导体、面板生产极为重要。但是日本通过贸易限制想让韩国屈服的愿望很有可能落空,尽管日本公司在十几种半导体材料中占据50%以上的份额,但是日本的限制迫使韩国公司加速寻求新的来源,即便日本宣布允许对韩出口,韩国三星等公司也决议扩大新的来源,摆脱日本限制。据报道,三星近日从欧洲获得了一种重要的半导体制造材料——EUV光刻胶,据悉三星从比利时一家公司获得了稳定的光刻胶供应,可以使用6到10个月。尽管三星方面没有透露具体的公司名字,但出售EUV光刻机的应该是日本JSR公司与比利时微电子中心IMEC合作成立的公司,2016年成立,主要由JSR比利时比利时子公司持股。当然,韩国在这方面依然是利用了日本政策的漏洞——日本的政策限制只针对日本国土上的公司,海外公司对外出售产品是不受限制的。从另一个角度来说,日本制定这样的政策限制对本国企业并不是什么好事,不仅影响本土销售,还会迫使这些公司加速向海外转移以绕过政策限制,毕竟韩国公司一年进口的半导体材料价值50亿美元。除了三星之外,韩国的SK海力士、LGD等公司也在使用日本本土之外的半导体、面板材料供应,在日本政府公开限制对韩国出口之后,韩国公司一方面加速寻求日本之外的第三方供应商,另一方面也会加强自主研发,韩国就投资了7.8万亿韩元,约合449亿人民币在未来5年研发100多种关键材料、装备。
发布时间:2019-08-12 00:00 阅读量:1140 继续阅读>>
麒麟985将采用7nm+<span style='color:red'>EUV</span>工艺制造?相比麒麟980性能提升了哪些?
  据外媒报道,华为即将推出的麒麟985旗舰级芯片组可能成为首款采用极紫外光刻工艺(EUV)制造的智能手机芯片,仍为7nm工艺。  此前也有相关媒体报道称,华为下半年将大幅追加台积电7nm芯片的投产量,有望超过苹果成为台积电最大的7nm客户。  按照惯例,麒麟985应该就是麒麟980的升级改良版,预计会提升CPU/GPU主频,进一步提升性能。同时,麒麟985的首发机型会是华为Mate 30系列,预计今年下半年推出。麒麟985的一大亮点就是标配5G基带,这也意味着华为Mate 30系列会支持5G网络。  外媒报道称,EUV(极紫外光刻工艺)是采用光来蚀刻硅晶片上的晶体管,该技术可以让晶体管的位置更精确,同时芯片上的晶体管密度可以增加20%,使得单位面积的芯片性能更强大,能耗更低。  值得一提的是,EUV将在后续的芯片中(5nm或更新的工艺)中展现真正价值,在7nm上其实还没有显示真正的潜力。虽然摩尔定律说每隔18个月-24个月晶体管数量翻一番,但很可能很快就会达到物理极限,EUV则有助于改善这一局面,并为下一代5nm芯片组的诞生奠定基础。  此外,极紫外光刻技术(EUV)预计将在2020年成为大规模生产的可行解决方案。台积电并不是唯一一家致力于完善该技术的供应商,英特尔也在此领域进行了投资,但之前的报告显示英特尔推迟发布其首批基于EUV的芯片组直到2021年,这使得台积电成为领先的竞争对手。
关键词:
发布时间:2019-03-25 00:00 阅读量:1304 继续阅读>>
台积电,三星与英特尔<span style='color:red'>EUV</span>光罩盒采购需求爆发,厂商接单供应告急
关键词:
发布时间:2019-01-03 00:00 阅读量:1347 继续阅读>>
台积电挟<span style='color:red'>EUV</span>量产优势 横扫5G,AI等订单
关键词:
发布时间:2018-07-23 00:00 阅读量:1489 继续阅读>>
ASML出货速度提升,中芯国际或更快获得<span style='color:red'>EUV</span>
  2018年7月18日,ASML公布了2018年第二季度业绩报告。  报告指出,ASML第二季度销售额为27.4亿欧元,净利润为5.84亿欧元,毛利率达到43.3%。  ASML CEO Peter Wennink表示,第二季度的销售额高于预期,主要是因为EUV的销量高于预期。此外,第二季度的毛利率也高于ASML预期,这也进一步表明了EUV强大的盈利能力。  ASML曾表示计划在今年出货20套EUV系统。在第二季度财报中,ASML指出第二季度出货4套EUV系统,比预期多出一套,将能够稳步完成今年的目标。  此外,第二季度共接到19.5亿欧元的新订单,其中45%来自于逻辑芯片客户,55%来自于内存芯片客户。  新增订单来自中芯国际?这新增的订单来自哪里?  据了解,台积电、英特尔和三星电子已经从ASML订购了许多EUV系统。来自供应链消息来源称,就营收而言为全球最大的芯片代工厂商台积电,今年就预订了10套该系统。三星电子公司预订了约6套EUV系统,而英特尔今年将订购3套。全球第二大芯片代工厂商格芯也预订了1套。  不难看出,依靠这几家,ASML今年计划的20套EUV销量基本可以实现。  在5月份集微网曾报道过,中国大陆最大的晶圆代工厂中芯国际已经订购了一台EUV设备,而这一设备正是购自ASML,价值1.2亿美元。此举表明,中芯国际帮助提升中国本土半导体制造技术的抱负日益增强,尽管中芯国际在这一市场仍落后于市场领先者两至三代技术。  那么,这笔新的订单或许就来自中芯国际。  不过我们也可看到,ASML的EUV交货数量要比预期要高。ASML表示,目前出货的4套EUV设备是根据原本的生产排期和能力而定的,与此前的下单时间有关。  Peter Wennink也指出,“ASML 现阶段的执行重点在于加速提升EUV系统的良率和生产力, 这将为EUV业务提供更强健的基础,有助于实现2019年至少出货30台EUV系统的计划。 ”  这是否意味着ASML的出货速度正在提升,中芯国际预定的EUV系统或将更快出货?  此外,5月,长江存储也迎来了自己的第一台光刻机,同样是由ASML供应,不过这台机器并不是最新的EUV光刻机,而是193nm沉浸式光刻机,可用于生产20nm-14nm工艺的3D NAND闪存晶圆,售价7200万美元一台。  无独有偶,在上海浦东新区康桥工业园南区,华虹集团旗下上海华力集成电路制造有限公司建设和营运的12英寸先进生产线建设项目(“华虹六厂”)首台工艺设备光刻机也已在5月进厂。  据了解,这台光刻机的型号是NXT 1980Di,依然是由ASML供应。官方资料显示,这是一台193nm双级沉浸式光刻机,用于10nm级(14~20nm)晶圆生产,同时它也是大陆装备的最先进的沉浸式光刻设备。  而这些数据都应当已经归入到ASML第二季度的财报之中。  光刻机需求持续增长  此外,从终端应用来看,2018年第一季度内存芯片市场占比为74%,逻辑芯片占比为26%。到第二季度,内存芯片占比下降到54%,而逻辑芯片占比上升到46%。Peter Wennink指出,“今年内存芯片市场对于光刻系统的强劲需求,推动EUV业务的成长,我们预估市场需求增势将从今年持续到2019年。”  具体来看,ASML在电话会议上表示,由于现有的和新兴的市场对于高性能计算的需求,逻辑芯片市场未来的发展依然稳健。这一市场中的客户正在着力推动7nm技术节点,这将会进一步刺激EUV系统需求的增长。同时,因为EUV系统的不断改善,客户对于系统以及逻辑芯片未来工艺路线的信心正不断增加。  在内存方面,ASML表示,随着客户技术的迁移和内存容量的增加,几乎每一个客户都需要提升晶圆厂的生产容量,这也将会刺激EUV系统的需求。  在此影响之下,ASML正在有计划地推进EUV系统的发展。ASML强调,无论是逻辑芯片还是内存,随着工艺的提高,都需要更好的设备来提高生产力。尤其是随着内存层数的不断提高,层数越多就越需要EUV提高生产力,降低生产成本,因为更高的生产力就意味着更低的成本。  从地区分布上来看,韩国的出货量相比于第一季度的51%已下降到了35%。中国台湾地区的出货量从3%上升到了18%,中国大陆的出货量从20%下降到了19%。  面向这些市场的产品主要包括EUV、ArF i、ArFdry、KrF、I-Line。其中,EUV的出货量由第一季度的1台上升到7台,ArF i出货量由第一季度的21台下降到了19台,ArFdry由3台上升到5台,KrF依然为19台,I-Line从5台上升到了8台。  值得注意的是,由于ASML产品的出货量不高,而产品的价格很高,所以不难看出在财报中,部分产品的销售比重一直较低。而像EUV这类设备,由于单价高,出货速度慢,所以反映在财报中的波动就非常大。此外,也正是因为这一原因,销售地区占比波动也比较大。  预计第三季度销售额约27亿-28亿欧元  财报中还指出,第二季度共销售了52套全新的光刻设备、7套二手光刻设备。不过对于具体二手设备的销售情况,ASML并没有给出进一步的数据。  对于第三季度,ASML表示,2018年第三季度的销售额将会介于27亿至28亿欧元之间,其中来自EUV系统的收入为5亿欧元。毛利率介于47%到48%之间,研发费用为3.95亿欧元,主要用于NXT 3400产品线的研发和EUV生产的提速。ASML还强调,今年EUV的预定出货目标依然是20套,在第三季度将会出货5套。  此外,ASML还表示,与2017年相比,2018年预计销售额和利润都会有稳定的增长,尤其是在上半年良好的业绩下,预计下半年的销售额将会更高,公司整体的盈利能力将会更好。
关键词:
发布时间:2018-07-20 00:00 阅读量:1878 继续阅读>>
<span style='color:red'>EUV</span>技术量产已进入最后冲刺阶段
尽管极紫外光(EUV)步进机的大量生产面临复杂的问题以及紧迫的时间,专家们仍然抱持乐观态度.随着工程师们竞相解决错综复杂的相关问题,酝酿了20年的新世代微影工具终于来到大量问世前的最后一个阶段──尽管极紫外光(EUV)步进机的大量生产面临复杂的问题以及紧迫的时间,专家们仍然抱持乐观态度。好消息是,半导体产业界正众志成城、积极推动技术进展;如比利时研究机构Imec的技术与系统执行副总裁An Steegen所言:“在过去,可能会有一家公司率先采用最新的半导体技术,但现在几乎所有的逻辑工艺技术供货商都跳进来、咬紧牙关努力并勇于承担风险。”Imec是荷兰EUV微影设备大厂ASML的长期合作伙伴,他们与晶圆代工厂、半导体供货商携手,现在的目标是解决该种有尺寸有一个房间大小、将用以制造新一代芯片的设备剩下的最后几个主要问题;Steegen在Imec年度技术论坛接受EE Times采访时指出,这很像是在2008年问世的FinFET晶体管,是很重大但充满挑战的半导体性能提升关键。她表示:“人们比较过下世代节点的最糟情况以及旧节点的最佳情况,现在各方都同意FinFET是具备超高性能的组件;我学到的教训是要对所有事情抱持怀疑态度…未来的半导体工艺技术还有足够进步空间,让SoC设计工程师能得到他们想要的。”而在笔者于Imec总部排队等着喝咖啡时与一位有32年工作资历的EUV开发老将闲聊时,他简单表示:“现在有很多压力…但我们正在取得进展。”确实,三星(Samsung)的晶圆代工部门赶着在今年底于7纳米工艺导入EUV,该公司的目标是超越最大竞争对手台积电(TSMC),后者正利用现有的浸润式微影设备进行7纳米设计案的投片;台积电与另一家晶圆代工大厂GlobalFoundries也不落人后,他们打算在明年以EUV量产强化版的7纳米工艺。Imec预期,DRAM制造商会在D14+节点采用EUV技术──应该会在2021年内存半间距(half pitches)来到20纳米以下时。目前Imec有两个技术开发重点,有助于舒缓边缘粗糙度(line-edge roughness)的问题,并消除所谓的随机效应(stochastics)、随机误差(random errors)等造成触点漏失(create missing)、触点断续(kissing contacts)的缺陷。那些误差在今年稍早于对下一代5纳米节点十分关键的15纳米临界尺寸首度被发现,但研究人员表示他们也在7纳米看到一样的问题。Steegen预期将会有混合式解决方案出现,这种方案会采用扫描机设定、光阻剂材料以及后期处理等方法的结合,以接续断裂的线路、将粗糙部分抹平或是填补漏失的触点。晶圆代工业者可以提供更高剂量的EUV光源──例如80 millijoules/cm2──以扩大工艺容许范围(process window),但这会让生产速度减慢;Steegen表示:“第一次实作时的最高剂量决定权在于各家晶圆代工厂。”工程师正在利用一系列的光罩调整、步进机设定、光阻剂选择以及后期处理方法,来解决EUV的随机误差问题 (来源:Imec)混合式解决方案以及放宽的设计规则Imec正在开发能预测并定位随机误差可能在设计中出现的地方,以提供工艺容许范围的视野;但寻找缺陷往往非常仰赖快速的电子束检测系统(e-beam inspection systems)。随着工艺节点来到单纳米尺寸,研究人员开始将缺陷归因于为小细节;举例来说,一次EUV曝光中的光子数量,会影响化学放大光阻剂(chemically amplified resists),而其他种类的光阻剂性能也会因为所嵌入的金属分子定向(orientation)而有所变化。对此Steegen表示:“并非所有的光阻剂作用都一样,它们因为不同基层而表现出的作用也会很独特…我们仍在经历一些基础性的学习。”为了简化工艺世代转移,GlobalFoundries采取分阶段EUV策略,在相对较宽松的7纳米节点只采用5层金属;该公司首席技术官Gary Patton在Imec技术论坛上接受采访时表示:“我们能够以较低剂量运作并达到良好的生产量。”Patton透露,GlobalFoundries将于今年稍晚采用浸润式微影进行首次7纳米设计投片,是一款AMD处理器;接着是一款IBM处理器,然后有数款ASIC。GlobalFoundries将7纳米节点的间距与SRAM单元制作得跟台积电的很类似,让芯片设计业者如AMD能够同时利用两家晶圆代工厂;他表示,AMD“的需求会高于我们拥有的产能,所以我们对(AMD也委托台积电生产)这件事没有意见。”不过,GlobalFoundries在开发10纳米节点的同时会跳过5纳米节点,该公司认为前者会有适度的递增收益;而该公司正在为下一代工艺寻求财务与技术上的伙伴,有可能会朝3纳米节点迈进。微影技术人员现在将良率问题视为EUV需要考虑的首要议题 (来源:Imec)在面对众多挑战的同时保持乐观尽管有重重挑战,Patton仍保持乐观;他认为,尽管智能型手机市场成长趋缓,产业界已经演变至进入AI时代,“新的无晶圆厂IC公司暴增”。在此同时,GlobalFoundries的FD-SOI工艺将至今年底将拥有75家设计伙伴,目前已经取得36件设计案。“很多人去年都在场边观望FD-SOI是否做得成,而现在结果已经很清楚;”Patton指出,该工艺技术能支持低至0.4V的设计,并在今年秋天量产Grade 2车规版本。GlobalFoundries与Imec的高层对于整体半导体技术蓝图的进展仍保持乐观,不过有一些工程师开始在公开谈论,晶体管速度的提升一般来说已经终结,晶体管密度与性能的进展则是一个节点比一个节点减少。对此Imec正在协助晶圆代工业者开发一系列性能提升技术来补强,包括简化的单元轨(cell tracks)、埋入式电源轨(buried power rails),以及芯片上电路堆栈(on-die circuit stacks)。“一般来说我并没有看到报酬递减,”Steegen表示:“我对于3纳米与2纳米逻辑工艺节点与内存技术蓝图发展感到乐观,我们有足够的资源…因此设计工程师会看到芯片面积的微缩,但他们可能需要在设计上做一些改变。”因此Imec的芯片微缩核心项目,继续每年以每年5~10%的速率成长;Imec首席执行官Luc Van den Hove首席执行官表示:“十年前,我们预期我们在先进CMOS工艺技术方面的工作会持平发展,因为产业整并的缘故,但情况恰恰相反。”他指出,Imec的相关项目因为AI加速器芯片以及DNA储存等新题材而增加。
关键词:
发布时间:2018-06-04 00:00 阅读量:1447 继续阅读>>
<span style='color:red'>EUV</span>订单疯长,ASML将向中国5家本土晶圆厂供货
光刻系统供应商ASML公布了强劲的第四季度业绩,其销售额在本季创造了新纪录,还接了10台新一代极紫外(EUV)光刻设备的订单……EETimes伦敦报道——光刻系统供应商ASML公布了强劲的第四季度业绩,其销售额在本季创造了新纪录,还接了10台新一代极紫外(EUV)光刻设备的订单。姗姗来迟的EUV终于进入量产阶段,一些顶尖芯片制造商计划在今年晚些时候或最迟明年初采用。ASML总裁兼首席执行官Peter Wennink在2017年表示,“EUV进入大批量芯片制造的准备工作将进一步加快”。ASML在2017年获得了11亿欧元(约合13.4亿美元)的收入,并在第四季度获得了额外的10个EUV系统订单,在这一年中,他们手里积压的EUV设备订单高达28个。总体上,ASML公布2017年销售额90.5亿欧元(约合110.4亿美元),比2016年增长33%。该公司2017年实现利润21.2亿欧元(约合25.9亿美元),比2016年增长44%。单看第四季度,ASML总销售额为25.6亿欧元(约合31.2亿美元),比第三季度增长4%。该公司报告季度净收入为6.44亿欧元(约合7.86亿美元),比第三季度增长16%。Peter WenninkWennink表示,由于有大客户给了压力,促使ASML在第四季度提前交付了客户要求的两台EUV光刻系统。ASML还报告说,随着公司继续支持中国不断扩张的半导体产业,2016年半导体制造设备对中国的销售额增加了20%以上。随着向在中国运营的外资晶圆工厂出货达成,ASML还计划于2018年向五家中国本土客户供货。2017年光学光刻工具的出货量也大幅增长。该公司表示,光刻系统出货量比2016年增长了21%,达到了161个。ASML预计2018年第一季度销售额约为22亿欧元(约合27亿美元)。
关键词:
发布时间:2018-01-24 00:00 阅读量:1178 继续阅读>>
7纳米之下,昂贵的光罩成本不改<span style='color:red'>EUV</span>设备光明前景
根据一项最新公布的调查结果,芯片产业高层对于极紫外光(extreme ultraviolet lithography,EUV)微影以及多电子束光罩写入技术(multibeam mask writers)越来越乐观,认为在生产尖端半导体组件变得越来越复杂与昂贵的此时,新一代系统将有助于推动工艺演进。 上述调查是由产业组织eBeam Initiative在今年夏天执行,对象为75位半导体产业菁英;有75%的受访者表示,他们预期EUV将会在2021年以前被量产工艺应用。也有1%的受访者认为EUV不会问世,但该数字已经比2016年的6%低了许多;2014年进行的调查更有35%受访者不看好EUV技术。产业界资深人士、eBeam Initiative发言人Aki Fujimura表示,他认为EUV毫无疑问将会在接下来几年开始应用于7纳米以下工艺。包括英特尔(Intel)、三星(Samsung)以及台积电(TSMC)已经对EUV技术开发商ASML投资了数十亿美元;ASML并为了EUV开发收购了光源技术供货商Cymer,以推动目前复杂且昂贵的技术更向前迈进。 Fujimura是一家利用GPU加速光罩缺陷修复的半导体设备业者D2S的执行长,他指出:“在过去几年,7纳米与5纳米的问题越来越糟糕,大家终于承认我们必须要让EUV成真,否则整个产业都会遇到麻烦。” 一项针对75位芯片产业具影响力高层的调查显示,厂商对EUV技术实现量产的态度越来越乐观(来源:eBeam Initiative)转变的过程不会太容易;芯片厂商们预期在7纳米工艺节点仍将采用现有的浸润式微影步进机,之后在某些工艺步骤改用EUV,以降低对多重图形的需求。Fujimura表示:“EUV是如此新颖的技术,需要在机器设备以及生态系统的庞大投资才能支持,并非一蹴可几;你必须一步步慢慢来,而不是马上就要求EUV做到最好。” 根据另一项针对前十大光罩制作厂商的调查显示,过去12个月来,光罩制造商已经制作了1041个EUV光罩,该数字在上一个年度是382;此外EUV的光罩良率目前为64.3%,而同期间曝光的46万2792个光罩平均良率则为94.8%。对此Fujimura表示,如果把该数字看做新创公司的获利率,可能有人会说64.3%是令人惊艳的高水平。 对EUV仍抱持怀疑态度的产业界人士已经几乎不存在(来源:eBeam Initiative)芯片产业界高层们也对多电子束光罩写入技术前景乐观,预期该技术能在2019年底以前获得量产工艺采用,只比2016年调查时所预测的晚一年;今年的调查也显示,现有的可变形电子束(variable shaped beam,VSB)光罩写入技术,会比预期使用更长时间。 这种转变是由于先进工艺节点的光罩组成本急遽上升,然而调查也显示,光罩业者指出光罩写入次数大致看来维持稳定。Fujimura表示,光罩写入次数在掌控中,部份是因为最新的VSB系统达到了1200 Amps/cm2的性能。不过数据集以及缺陷增加,在先进工艺节点会延长光罩周转时间(mask turnaround times);Fujimura指出:“每一个关键层的光罩成本逐渐上升,光罩的数量也变得非常高。”确实,如受访者所言,7~10纳米节点的光罩层数平均为76,有一家厂商甚至表示达到112层;20纳米平面工艺的平均光罩层数为50,而130纳米节点平均光罩数则为25层。 越精细先进工艺节点所需光罩数越多(来源:eBeam Initiative) “超过100层的光罩真是非常荒谬;”Fujimura表示:“我们会看到EUV量产之后将发生什么事;”EUV需要的光罩层数会低于浸润式微影,但EUV光罩会更复杂,成本也更高昂。在此同时,调查显示7~10纳米工艺的光罩周转时间会延长到12天,这有部份原因是数据准备(data preparation)所需时间平均达到约21小时。此外当芯片工艺来到7纳米,光罩工艺校准(mask process correction,MPC)现在已经成为惯例需求;根据调查显示,此步骤平均需要额外的21小时:“MPC需求激增,添加这个额外步骤也会带来额外的运作时间。”
关键词:
发布时间:2017-09-14 00:00 阅读量:1141 继续阅读>>

跳转至

/ 2

  • 一周热料
  • 紧缺物料秒杀
型号 品牌 询价
CDZVT2R20B ROHM Semiconductor
MC33074DR2G onsemi
TL431ACLPR Texas Instruments
BD71847AMWV-E2 ROHM Semiconductor
RB751G-40T2R ROHM Semiconductor
型号 品牌 抢购
STM32F429IGT6 STMicroelectronics
IPZ40N04S5L4R8ATMA1 Infineon Technologies
BP3621 ROHM Semiconductor
ESR03EZPJ151 ROHM Semiconductor
BU33JA2MNVX-CTL ROHM Semiconductor
TPS63050YFFR Texas Instruments
热门标签
ROHM
Aavid
Averlogic
开发板
SUSUMU
NXP
PCB
传感器
半导体
关于我们
AMEYA360商城(www.ameya360.com)上线于2011年,现有超过3500家优质供应商,收录600万种产品型号数据,100多万种元器件库存可供选购,产品覆盖MCU+存储器+电源芯 片+IGBT+MOS管+运放+射频蓝牙+传感器+电阻电容电感+连接器等多个领域,平台主营业务涵盖电子元器件现货销售、BOM配单及提供产品配套资料等,为广大客户提供一站式购销服务。