英特尔<span style='color:red'>晶圆代工</span>,更新路线图
  4年5个节点。这是英特尔首席执行官帕特·基辛格 (Pat Gelsinger) 在 2021 年向英特尔的客户、投资者和全世界做出的承诺,当时他制定了英特尔雄心勃勃的计划,以重新夺回代工领域的领导地位。  由于2010年代复杂的延误,英特尔失去了长期以来作为全球顶级晶圆厂的地位,当时的新任英特尔首席执行官不顾投资者出售英特尔晶圆厂的呼吁,转而全力投资晶圆厂,就像英特尔从未有过的那样。以前做过的事情,成为供全世界使用的自上而下的代工服务。  现在两年多过去了,英特尔刚刚开始看到这一积极路线图的第一批成果,无论是在技术还是客户方面。基于英特尔首款 EUV 节点intel 4 的产品现已上市,其大批量对应产品intel 3 也已准备就绪。与此同时,英特尔正在对其 2024 年和 2025 年首款全环栅 (GAAFET)/RibbonFET 进行最后的润色。  对于该公司来说,这是一个令人兴奋的时刻,但也是一个关键时刻。英特尔已经到了需要兑现这些承诺的地步——而且他们需要以一种非常明显的方式做到这一点。  为此,今天英特尔的代工团队(正式名称为英特尔代工服务:Intel Foundry Services)正在举办其首次会议“Direct Connect”。这不仅仅是为客户和媒体展示的,这是英特尔为整个晶圆厂行业举办的亮相派对,英特尔的代工厂(而且只有英特尔的代工厂)受到关注,这在英特尔的庞大业务中是罕见的。  除了概述英特尔在 4 年内实现 5 个节点目标方面取得的进展外,Direct Connect 也是英特尔首次有机会谈论前 5 个节点之后的发展。随着英特尔代工工厂在产能、客户和工具方面的扩展,该团队不仅正在考虑一系列更先进的节点,而且还在考虑一系列日益必要的封装技术来支持它们。虽然今天的活动与基辛格 2021 年宣言的整体大胆程度不相上下,但它仍然是一次重要的观察,让我们了解这位曾经(以及未来?)的代工之王在未来几年将会发生什么。  总而言之,这里有几项值得注意的公告,所以让我们直接深入了解。  英特尔代工服务更名为“英特尔代工”,开启封装和测试大门  英特尔的代工集团正在换个新名字,开启了英特尔所谓的“系统代工”时代。英特尔的整个代工服务系列,从晶圆厂到测试再到先进封装,现在都被置于单一的英特尔代工旗帜下。  从某些方面来说,这也是英特尔为服务披上一层新的蓝色外衣。但这也旨在强调英特尔服务产品的性质。该公司不仅希望为客户制造芯片,而且希望成为芯片生产的一站式商店。因此,除了晶圆光刻技术之外,英特尔还向潜在客户开放其先进封装、芯片组装和测试的完整生态系统。如果客户愿意,他们将能够从英特尔获得完整的芯片,甚至只是利用英特尔提供的个性化服务。  这一声明有多个角度,但最重要的是,它凸显了英特尔想要做到这一切的愿望。他们不仅想在晶圆代工界占据一席之地;他们希望尽一切努力吸引尽可能多的客户——尽可能地扩大规模。  更广泛地说,人们希望能够利用英特尔竞争对手的任何失误,因为这些往往是在正在进行的代工竞赛中取得领先的最佳机会。正是英特尔在 10 纳米(以及较小程度的 14 纳米)方面遇到了挫折,而当台积电、三星或其供应链的其他成员陷入困境时,能够迅速介入是英特尔重新获得工艺领先地位的一种方式,这将让他们最终占据最佳制造商的位置。  超越Intel 18A:18A-P、14A 和混合键合  抛开业务问题不谈,英特尔今天发布的公告的重点是该公司的晶圆厂路线图,该路线图将在两年多以来首次延期。现在,英特尔正在发货一些首批追赶节点,并准备发货其余节点,该公司将介绍 2025 年 18A 后的情况。  在高性能/高密度赛道上,这里的重要补充是 18A 的后继者14A。除其他成就外,14A 将是英特尔首次使用高数值孔径 (High-NA) EUV(下一代极紫外光刻技术)的节点。高数值孔径 EUV 有望实现更精细的特征,允许在不依赖多重图案化的情况下处理晶圆,而这对于较小节点尺寸的传统 EUV 来说是必需的。英特尔将其代工业务押注于 High-NA,这与英特尔在 EUV 领域的起步相对较晚(Intel 4/Meteor Lake 是其首款产品)形成鲜明对比,以至于英特尔已经获得了迄今为止全球唯一的高数值孔径扫描仪。  凭借 High-NA 的使用,14A 将成为英特尔继 20A/18A 合并之后的第一个完整节点。它将在功能尺寸和性能方面提供什么还有待观察——风险生产定于 2026 年底进行,这还需要几年时间——但如果一切按照英特尔的计划进行,这将是他们进一步发展的地方巩固其作为晶圆厂工艺技术领导者的地位。  在其他地方,英特尔正在计划其主要节点的几种变体,包括 14A。这些变体都获得了新的后缀,具体如下:  E,Feature Extension:E 节点是对以某种方式增强的节点的包罗万象的标签。据英特尔称,这主要基于新功能,例如支持更高的电压(想想用于 HPC 的台积电“X”节点)、更高的温度等。这些节点的性能也可能比基本节点更好,但一般来说,每瓦性能将提高不到 5%。  P, Performance Improvement:这些节点相对于节点的基本版本提供了更大但仍然适度的性能改进。AP 节点应提供 5% 到 10% 的每瓦性能改进。它们本质上是节点的“plus”版本。顺便说一句,如果一个新节点的每瓦性能提升超过 10%,那么英特尔表示我们应该期望它完全是一个新节点。  T, Through-Silicon Vias:最后,T 后缀将用于指示支持硅通孔 (TSV) 的英特尔节点的特殊版本,用于制造基础芯片,而基础芯片又用于铜铜混合键合。混合键合也是英特尔在其 Foveros Direct 3D 品牌下推广的,是当前芯片堆叠的最终游戏,允许使用微小的铜键合将芯片直接堆叠在彼此的顶部,这些铜键合使用 TSV 路由到各自的芯片中。混合键合/TSV 将允许凸块间距小于 10 微米,因此即使在一平方毫米内,芯片之间也能实现大量连接。  考虑到这些后缀,我们在英特尔路线图上看到了当前、即将推出和新宣布的工艺节点的几种变体。性能轨道上的是14A-E,它是英特尔最新路线图上最远的节点。英特尔没有透露此处提供的具体增强功能,但高压操作是一个不错的猜测。  同时,18A 将在 2025 年左右获得性能更高的变体,即18A-P。英特尔一再指出,18A 预计将是一个寿命较长的节点,因此看到它获得更高性能的变体也就不足为奇了,特别是因为其是一个不受高数值孔径光刻机设计限制的节点。(主要是芯片/掩模版尺寸)。  Intel 3 是英特尔首款大批量 EUV 节点,也将在未来几年内出现一些变化。这包括英特尔首个 TSV/Foveros Direct 节点、Intel 3-T以及将于 2025 年推出的功能增强型英特尔3-E 。最后,基于更高性能设计的第二个支持 TSV 的节点版本将随Intel 3P-T一起提供。值得注意的是,在英特尔路线图上,只有Intel 3 具有支持 TSV 的节点;由于这些 T 节点旨在用于工作软管基础模具,因此英特尔并未制定任何计划使用 18A 等尖端节点制造基础.芯片。(尽管毫无疑问,18A 仍将在 Foveros Direct 中用作top dies,例如在Clearwater Forest中)  最后,英特尔之前宣布的Intel 12 节点将于 2027 年投入生产。该预算节点正在与 UMC 联合开发,但将仅在英特尔代工厂生产。  英特尔:4年内5个节点已步入正轨  虽然英特尔今天发布的公告的重点是围绕他们未来的雄心壮志,但要实现这一目标,他们仍然需要实现当前的目标。这意味着他们承诺在 4 年内按时交付 5 个节点。  重要的是,英特尔再次重申 4 年计划仍在按计划进行。英特尔的 4 年计划结束时,18A 将于 2025 年投入生产,到 2024 年,客户已经可以开始为英特尔最雄心勃勃的节点设计芯片。  值得注意的是,英特尔最近完成了自己的 18A 主要产品Clearwater Forest 的流片,并于今天宣布。Clearwater 是基于英特尔第二代 E 核的 Xeon(Sierra Forest 的后继产品),是英特尔代工技术的杰作。除了用于计算元件的 18A 之外,Clearwater 还使用 Intel 3 作为其基础芯片,使用 EMIB 进行进一步的芯片连接,甚至使用 Foveros Direct(混合键合)进行芯片间连接。Clearwater 最终将与消费级Panther Lake一起成为英特尔的前两个大型 18A 项目。  凭借其特征尺寸、RibbonFET 晶体管和PowerVia 背面供电的组合,英特尔此前曾表示,他们希望通过 18A 重新获得工艺领先地位。截至今天的活动,这仍然是英特尔对何时重回巅峰的预测。  与此同时,距离生产更近了,英特尔报告称,其大批量 EUV 工艺节点Intel 3 已准备好进行大批量生产。它的前身 Intel 4 现已针对 Meteor Lake 发货,而 intel 3 是其改进版本,具有全系列可用的单元库(而不是仅提供高性能的 Intel 4)。  鉴于英特尔目前仅使用其 5 个节点中的第二个节点来交付产品,因此无法回避的事实是,至少作为外部观察者,英特尔的许多“步入正轨”声明都是在相信该公司的话。但鉴于英特尔的时间表从一开始就基于内部(风险生产)里程碑而不是产品出货里程碑,因此永远不会有任何其他方式。  尽管如此,尽管我们今天手里没有 Clearwater Forest 芯片,但他们的设计已经流片并已准备好接受客户设计这一事实,就像人们所希望的那样,是一个充满希望的迹象。  英特尔也很快宣称他们的客户胜利是他们进步的进一步证据,并且英特尔代工厂正走在正确的道路上。虽然英特尔没有透露任何具体合作伙伴的名称,但他们透露,他们已经就 18A 达成了 4 项“大型”交易。其中一项交易包括一项“有意义的”预付款协议。最终,英特尔代工厂的财务成功不仅取决于开发新节点,还取决于签约客户,以获得完成所有这些主要投资所需的必要数量。因此,对于英特尔来说,作为代工业务的相对新手,让客户愿意为产能预付费是他们的一大优势。  英特尔,未来依仗的技术  在今天一次采访中,英特尔通过分享其未来数据中心处理器的一瞥,概述了它将为其代工客户提供的新芯片技术。这些进步包括更密集的逻辑以及3D 堆叠芯片内的连接性增加 16 倍,它们将是该公司与其他公司的芯片架构师共享的首批高端技术之一。  在内部,英特尔计划在代号为 Clearwater Forest 的服务器 CPU 中使用这些技术的组合。该公司认为该产品是一种具有数千亿个晶体管的片上系统,是其代工业务的其他客户能够实现的目标的一个例子。  英特尔数据中心技术和探路总监Eric Fetzer表示,“我们的目标是让计算达到我们能够实现的最佳每瓦性能” 。这意味着使用该公司最先进的制造技术——Intel 18A。  “但是,如果我们将该技术应用于整个系统,就会遇到其他潜在问题,”他补充道。“系统的某些部分不一定能像其他部分一样扩展。逻辑通常可以根据摩尔定律很好地扩展一代又一代。”但其他功能则不然。例如,SRAM(CPU 的高速缓存)一直滞后于逻辑。连接处理器和计算机其余部分的 I/O 电路则更加落后。  面对这些现实,正如所有领先处理器制造商现在面临的那样,英特尔将 Clearwater Forest 的系统分解为其核心功能,选择最适合的技术来构建每个功能,并使用一套新技术将它们重新缝合在一起。其结果是 CPU 架构能够扩展到多达 3000 亿个晶体管。  在Clearwater Forest ,数十亿个晶体管被分为三种不同类型的硅 IC,称为芯片或小芯片,互连并封装在一起。该系统的核心是使用 Intel 18A 工艺构建的多达 12 个处理器核心小芯片。这些小芯片以 3D 方式堆叠在三个使用 Intel 3 构建的“基础芯片”之上,该工艺为今年推出的Sierra Forest CPU制造计算核心。CPU 的主高速缓存、电压调节器和内部网络将安装在基础芯片上。“堆叠通过缩短跳数来改善计算和内存之间的延迟,同时启用更大的缓存,”英特尔高级首席工程师Pushkar Ranade说。  最后,CPU 的 I/O 系统将位于使用 Intel 7 构建的两个芯片上,到 2025 年,该芯片将落后该公司最先进的工艺整整四代。事实上,这些小芯片与Sierra Forest 和 Granite Rapids CPU中的小芯片基本相同,从而减少了开发费用。  以下是所涉及的新技术及其提供的功能:  1、3D混合键合  英特尔当前的芯片堆叠互连技术 Foveros 将一个芯片与另一个芯片连接起来,采用的是长期以来芯片与封装连接方式的大幅缩小版:微小的焊料“微凸块”,短暂熔化后即可连接芯片。这使得 Meteor Lake CPU 中使用的 Foveros 版本大约每 36 微米建立一个连接。Clearwater Forest 将使用新技术Foveros Direct 3D,该技术不同于基于焊接的方法,可将 3D 连接的密度提高 16 倍。  它被称为“混合键合”,类似于将两个芯片表面的铜焊盘焊接在一起。这些垫片稍微凹陷并被绝缘体包围。当将两个芯片压在一起时,一个芯片上的绝缘体会粘附到另一芯片上。然后,对堆叠的芯片进行加热,使铜在间隙中膨胀并粘合在一起,形成永久链接。竞争对手台积电在某些AMD CPU中使用混合键合版本,将额外的高速缓存连接到处理器核心小芯片,并在AMD 最新的 GPU中将计算小芯片连接到系统的基础芯片。  Fetzer 表示,“混合键合互连能够大幅提高”连接密度。“这种密度对于服务器市场非常重要,特别是因为这种密度驱动着非常低的皮焦每比特通信。”如果每比特能源成本太高,则数据从一个硅芯片传输到另一个硅芯片所涉及的能量很容易消耗产品功率预算的很大一部分。Foveros Direct 3D 使每比特的成本降至 0.05 皮焦耳以下,这使其与在硅芯片内移动比特所需的能量处于同一水平。  节省的大部分能源来自于传输更少的铜线的数据。假设您想要将一个芯片上的 512 线总线连接到另一个芯片上相同大小的总线,以便两个芯片可以共享一组一致的信息。在每个芯片上,这些总线可能窄至每微米 10-20 根电线。要使用当今的 36 微米间距微凸块技术将信号从一个芯片传输到另一个芯片,意味着将这些信号分散到一侧数百平方微米的硅上,然后将它们聚集到另一侧的同一区域。Fetzer说,对所有额外的铜和焊料进行充电“很快就会成为延迟和大功率问题”。相比之下,混合键合可以在几个微凸块占据的同一区域中进行总线到总线的连接。  尽管这些好处可能很大,但转向混合键合并不容易。要形成混合键合,需要将已经切割的硅芯片与仍附着在晶圆上的硅芯片连接起来。正确对齐所有连接意味着芯片必须被切割成比微凸块技术所需的公差大得多的公差。修复和恢复也需要不同的技术。Fetzer 表示,甚至连接失败的主要方式也是不同的。对于微凸块,您更有可能因连接到相邻焊点的一点焊料而发生短路。但对于混合键合,危险是导致连接断开的缺陷。  2、背面电源  该公司今年通过其Intel 20A 工艺(将先于英特尔 18A 的工艺)为芯片制造带来的主要区别之一是背面供电。在当今的处理器中,所有互连,无论是承载电力还是数据,都构建在芯片的“正面”硅基板上方。Foveros 和其他 3D 芯片堆叠技术需要硅通孔、互连,这些互连可以向下钻穿硅以从另一侧建立连接。但背面电力传输更进一步。它将所有电源互连放置在硅下方,基本上将包含晶体管的层夹在两组互连之间。  这种布置会产生影响,因为电源互连和数据互连需要不同的功能。电源互连需要较宽以减少电阻,而数据互连应较窄以便可以密集封装。随着今年晚些时候Arrow Lake CPU的发布,英特尔将成为第一家在商用芯片中引入背面供电的芯片制造商。英特尔去年夏天发布的数据显示,仅背面电源就带来了6% 的性能提升。  英特尔 18A 工艺技术的背面供电网络技术将与英特尔 20A 芯片中的技术基本相同。然而,它在Clearwater Forest 中得到了更大的利用。即将推出的 CPU 在基础芯片中包含所谓的“片内电压调节器”。使电压调节接近其驱动的逻辑意味着逻辑可以运行得更快。距离越短,调节器就能更快地响应电流需求的变化,同时消耗更少的功率。  由于逻辑芯片使用背面供电,因此电压调节器和芯片逻辑之间的连接电阻要低得多。“通过技术提供的动力以及 Foveros 堆叠为我们提供了一种非常有效的连接方式,”Fetzer 说道。  3、RibbonFET,下一代晶体管  除了背面电源之外,该芯片制造商还采用英特尔 20A 工艺改用不同的晶体管架构:RibbonFET。自 2011 年以来, RibbonFET是纳米片或全栅晶体管的一种形式,它取代了FinFET(自 2011 年起 CMOS 的主力晶体管)。在 Intel 18A 中,Clearwater Forest 的逻辑芯片将采用第二代 RibbonFET 工艺制造。Fetzer 表示,虽然这些设备本身与 Intel 20A 中出现的设备没有太大区别,但设备的设计具有更大的灵活性。  他表示,“除了实现高性能 CPU 所需的功能之外,还有更广泛的设备可以支持各种代工应用”,而这正是 Intel 20A 工艺的设计目的。  其中一些变化源于 FinFET 时代失去的一定程度的灵活性。在 FinFET 出现之前,采用相同工艺的晶体管可以制成多种宽度,从而允许在性能(伴随更高电流)和效率(需要更好地控制漏电流)之间进行或多或少的连续权衡。由于 FinFET 的主要部分是具有规定高度和宽度的垂直硅鳍,因此现在必须采取设备具有多少鳍的形式进行权衡。因此,使用两个翅片可以使电流加倍,但没有办法将其增加 25% 或 50%。  有了纳米片器件,改变晶体管宽度的能力又回来了。“RibbonFET 技术可在同一技术基础上实现不同尺寸的焊带,”Fetzer 说道。“当我们从英特尔 20A 转向英特尔 18A 时,我们在晶体管尺寸方面提供了更大的灵活性。”  这种灵活性意味着设计人员可以用来构建系统的标准单元(基本逻辑块)可以包含具有不同属性的晶体管。这使得英特尔能够开发出一个“增强型库”,其中包括比英特尔 20A 工艺的标准单元更小、性能更好或更高效的标准单元。  4、第二代EMIB  在 Clearwater Forest 中,处理输入和输出的芯片使用第二代英特尔EMIB水平连接到基础芯片(具有高速缓存和网络的芯片) 。EMIB 是一小块硅,包含一组密集的互连和微凸块,旨在将一个芯片连接到同一平面上的另一个芯片。硅嵌入封装本身,以形成芯片之间的桥梁。  自 Sapphire Rapids 于 2023 年发布以来,该技术已在英特尔 CPU 中投入商业使用。它是一种成本较低的替代方案,可将所有芯片放在硅中介层上,硅中介层是一块带有互连图案的硅片,其大小足以容纳所有芯片。系统的芯片可供放置。除了材料成本之外,硅con 中介层的建造成本可能很高,因为它们通常比标准硅工艺设计的尺寸大几倍。  第二代 EMIB 今年与 Granite Rapids CPU 一起首次亮相,它将微凸块连接的间距从 55 微米缩小到 45 微米,并提高了电线的密度。这种连接的主要挑战是封装和硅在加热时以不同的速率膨胀。这种现象可能会导致翘曲,从而破坏连接。  此外,就 Clearwater Forest 而言,“还存在一些独特的挑战,因为我们将常规芯片上的 EMIB 连接到 Foveros Direct 3D 基础芯片和堆栈上的 EMIB”,Fetzer 说道。他说,这种情况最近被重新命名为 EMIB 3.5 技术(以前称为 co-EMIB),需要采取特殊步骤来确保所涉及的应力和应变与 Foveros 堆栈中的硅兼容,Foveros 堆栈比普通芯片更薄。  生态系统齐聚一堂:EDA 工具和 IP 已准备就绪  最后,今天活动的一部分专门面向英特尔以外的供应商,他们负责提供完成英特尔代工厂生态系统所需的其余工具、IP 和其他部分。  向合同制造的转变给英特尔带来了几项变化,其中最大的变化之一是如何为英特尔晶圆厂设计芯片。当英特尔只生产供内部使用的芯片时,该公司可以自由地使用他们需要的任何工具,无论他们需要什么工具——标准化的必要性并不高,更不用说向外界公开这些流程的工作原理了。但现在英特尔代工厂的大门已经敞开,英特尔必须与工具提供商密切合作,以便外部公司能够成功使用他们的晶圆厂。这意味着英特尔正在从完全内部生态系统过渡到外部生态系统;他们未来的成功部分取决于确保客户为其晶圆厂开发芯片的一切都到位。  最终结果是,英特尔代工厂一直与电子设计自动化 (EDA) 提供商的知名企业合作,他们的工具是现代芯片设计的基础。这包括 Synopsys、Cadence、Ansys、西门子等。其中许多人将在今天早上的 Direct Connect 活动上发表讲话,宣布他们的工具已获得英特尔代工厂外部节点的认证。  有趣的是,Intel Foundry 今天还宣布围绕 EMIB 开展广泛的行业合作。我期待在今天上午晚些时候计划的 EDA 会议上听到更多相关信息,但据英特尔称,该公司一直在与 EDA 工具供应商合作,以简化 EMIB 在芯片设计中的使用,从而加快 EMIB 的开发和交付- 为英特尔代工客户配备的芯片。  除了 EDA 工具之外,英特尔还与 IP 提供商合作,以便将其关键 IP 移植到英特尔 Foundry 的工艺节点上或以其他方式开发。这是一个更大的合作伙伴列表,涵盖了从普通(内存 PHY)到 CPU 内核等复杂设计的所有内容。即使是最大的芯片设计商也不会完全在内部设计所有内容,因此获取充实芯片设计所需的基础 IP 块是英特尔代工生态系统的另一个主要需求。  总体而言,英特尔代工在过去几年中一直在吸引各种公司。但可以说,CPU 设计商 Arm 是英特尔最重要的 IP 供应商。除了基于 Arm 的芯片已经从英特尔曾经坚如磐石的数据中心业务中占据了很大份额(尤其是云供应商现在设计自己的芯片)之外,Arm 也是非常受欢迎的人工智能加速器组合 - 甚至 Arm 本身也是如此期待他们的下一代 Neoverse 设计。因此,如果英特尔代工厂想要进军新兴(且利润丰厚)的人工智能市场,他们不仅需要能够提供制造人工智能加速器的能力,还需要提供与之配套的CPU内核。  但在这方面,应该指出的是,英特尔本身也是这里的IP供应商。英特尔产品部门将作为小芯片/IP 供应商,甚至作为半定制设计公司来竞争业务,可以想象,该公司可以为真正需要定制级别的大客户提供基于英特尔 IP 的定制设计。出于显而易见的原因,今天公告的重点是围绕英特尔代工,但英特尔代工业务的成功将不仅仅是仅仅基于第三方 IP 为第三方制造芯片。
关键词:
发布时间:2024-02-22 11:04 阅读量:1353 继续阅读>>
韩国8英寸<span style='color:red'>晶圆代工</span>价格今年下调约10%
  消息称,去年下半年开始,芯片需求的下降影响了许多芯片供应链上的公司,包括为无晶圆厂商提供代工服务的厂商,除了受到最直接冲击的芯片供应商。  据报道,受IT领域芯片需求减少影响,韩国的晶圆代工商在今年已将8英寸晶圆的代工价格,下调了约10%,部分厂商已将8英寸晶圆的代工价格,下调了最高20%。另外,韩国8英寸晶圆代工商的产能利用率,在今年也有明显下滑。  消息人士还透露,虽然不同的晶圆代工商是在不同的阶段和不同制程工艺上降价,但8英寸晶圆代工价格下滑,已扩展到了全行业。  当然,外媒在报道中也提到,8英寸晶圆代工价格下降的,不只是韩国的晶圆代工商,台积电、世界先进等厂商的价格也有下滑。除了IT领域芯片的需求下滑,转向12英寸晶圆也影响到了8英寸晶圆的代工价格。  8英寸晶圆当前主要用于电源管理芯片、显示驱动芯片、微控制器等,韩国有多家厂商提供8英寸晶圆的代工服务,包括三星电子、SK海力士、DB Hitek、Key Foundry。  而从外媒的报道来看,除了价格下滑,韩国8英寸晶圆代工商的产能利用率,在今年也有明显下滑。DB Hitek在二季度的产能利用率降至73.83%,较去年的97.68%下滑超过20%。有消息人士透露,三星电子、Key Foundry和SK海力士8英寸晶圆生产线的产能利用率,只在40%到50%。
关键词:
发布时间:2023-08-29 09:29 阅读量:2170 继续阅读>>
台积电第二座2nm<span style='color:red'>晶圆代工</span>厂今年难动工
关键词:
发布时间:2023-08-23 09:23 阅读量:1896 继续阅读>>
<span style='color:red'>晶圆代工</span>涨价还没完,成熟制程或再涨6%
      据台媒经济日报报道,晶圆代工涨价潮一波波,继联电、世界、台积电之后,南韩三星也传出要调升报价15%至20%的消息。      然而麦格理证券预测,晶圆代工成熟制程报价劲扬态势「还没完」,明年至少还会再涨6%,乐观情境涨幅上看11%至13%,大幅挹注台积电、联电和世界等三家指标厂营运。      随著报价涨势延续,直接挹注相关业者毛利,麦格理最乐观情境推算台积电、联电、世界明年毛利率,分别挑战提升至54%、38%、46%,有望再创各公司的获利颠峰。      目前麦格里对两岸五大晶圆代工厂都评等「加码」,大陆获评有中芯国际和华虹半导体,对台积电、联电、世界目标价各为765.9元、69.5元、155.9元。看好成熟制程涨幅领先,推荐优先加码联电和世界。      在业界普遍调升报价之际,南韩媒体报导,三星也将调升晶圆代工价格15%至20%,涨价适用从现在开始的四到五个月内。这意味台积电、三星、联电、格芯、中芯、力积电、世界等七家指标厂都已全面调涨升报价,不仅透露市场盛况空前,也反映各大厂积极转嫁半导体材料上扬的生产压力。      业界分析,晶圆代工厂全面调涨报价,IC设计厂压力最大,尤以主要才用成熟制程的微控制器(MCU)、驱动IC、消费性电子芯片业者最受威胁。      值得一提的是,先前联发科最主要晶圆代工伙伴台积电传出调整报价,但联发科劲敌高通旗舰晶片代工伙伴三星闻风不动,一度让市场忧心联发科恐较高通丧失成本竞争优势,随著三星也传出涨价,且涨幅与台积电相当,市场预期有助舒缓联发科的压力。      麦格理表示,今年以来成熟制程价格已大幅上调,8吋、12吋到40奈米涨幅自20%起跳,28至12奈米调涨10%,对比成熟制程主体的联电去年第2季到今年第2季,每片晶圆毛利率成长33%,世界同期成长31%,且两家总毛利率都大增800个基点,表现惊艳市场。      麦格理预测,明年初各成熟制程将另外调涨5%左右,正在洽谈2022下半年到2023年新产能绑定的长约价格,最大涨幅可能达到20%。至于该券商基本假设,明年成熟制程平均调涨6%。      麦格理指出,成熟制程涨幅扩大,无疑对联电、世界带来最大效益,优先布局。目标价则以台积电的上档空间最大,建议长期投资。为什么台积电也按捺不住了?      8月26日一早,一名设计大厂经理人在上班途中突然接到台积电打来的电话:「总部决定涨价!」几乎同时间,台积电的客户纷纷接到电话,这个讯息迅速在科技业蔓延开来。      这一次的全面调涨可说是20年来头一遭!从5纳米、7纳米等先进制程,到28、40制程价格全面调涨5%到20%不等,其中成熟制程涨幅更上看20%。犹记得去年第3季法说会上,面对法人公开提问会不会跟著同业调涨价格,台积电总裁魏哲家信誓旦旦这麽说:「对于短期的供应短缺,我们不会藉机调涨晶圆价格。」      一名台积电前主管也指出,台积电向来对价格有明确规范,尤其重视「partnership」(伙伴关係),创办人张忠谋曾自评:「台积电的成功,最大原因是partnership,服膺的是『不能因为我(台积电)的利益,而牺牲你的利益。』」正因如此,关乎客户利益的晶圆价格向来不轻易更动。这位前主管认为,此刻台积电宣布全面调涨,「CC(台积电总裁魏哲家)其实冒著很大的风险。」      这位台积前主管点破:晶圆代工产能短缺,导致晶圆代工同业调高定价,联电、世界先进、力积电纷纷调涨价格,而台积电向来是价格制定者,「台积电如果卖100元,联电大概顶多卖80元,其他只能卖更低。」但去年起,联电一路调价,「从80、90,现在涨到110,比台积电还贵,这就像奔驰车的价格卖得比Toyota低。台积电坐不住啊!」      「台积电长期对股东都有一个承诺在,以他们这麽先进的制程,势必要拿到更好的毛利。」晶圆代工产业主管认为,台积电如今先进制程的发展难以使毛利率提升,成熟制程若不调涨,「过几季就会到50%以下。」      摊开台湾晶圆业者的毛利率表现,联电受惠于不断涨价,毛利率从去年初不到20%,节节上升到今年第2季已达31.25%。世界先进的毛利率同样从31%提升到40%,力积电也从去年24%提升到如今39.5%以上,二线晶圆厂毛利率皆向上成长,唯有龙头大哥台积电却一路走滑。      摊开检视台积电近3季的毛利率,从去年第4季的54%、今年第1季52.4%,下降到今年第2季50%。      台积电涨价虽然显得仓卒,但动见观瞻的市场地位立刻吸引外资、当地券商纷纷发布报告,几乎都给予「优于大盘」、「买进」、「增持」的评价。对台积电2022年的表现,预估毛利率可拉高到51.5%至52%左右,EPS则可拉高至27元附近。      至于曾在年初高喊台积电目标价上看1000元的Aletheia,亦在最新报告中乐观看待台积电调涨晶圆代工价格的决定。Aletheia认为,在如是涨价情境下,台积电2022年的毛利率有望超过55%,也让该年的预期EPS来到33元,不仅远高于Aletheia年初预估值23.8元,也高于当时对2023年所预估的30.53元。      然而,若未来需求降低,台积电的报价有可能会全面调降吗?晶圆代工产业人士直言不太可能发生,「台积电是回到折让系统。」只不过,目前在8吋晶圆的产能上,由于新产能开出难以获利,恐怕会持续维持供不应求状态。注:图文源自网络,如有侵权请联系删除!
关键词:
发布时间:2021-09-02 00:00 阅读量:1270 继续阅读>>
半导体产能供不应求带动价格走扬,第一季前十大<span style='color:red'>晶圆代工</span>业者产值再创单季新高
TrendForce集邦咨询研究显示,受惠于多项终端应用需求齐扬,各项零部件备货强劲,晶圆代工产能自2020年起便供不应求,各厂纷纷调涨晶圆售价及调整产品组合以确保获利水平。尽管整体产业历经2020年第四季的高基期、突发性停电意外等外部因素影响,2021年第一季前十大晶圆代工业者总产值仍再次突破单季历史新高,达227.5亿美元,季增1%。 三星及格芯分别受断电停工、出售8英寸厂房影响,第一季营收衰退营收排名方面,台积电第一季营收以129.0亿美元稳居全球第一,季增2%。主要营收贡献来自7nm在超微(AMD)、联发科(MediaTek)及高通(Qualcomm)订单持续挹注下稳定成长,营收季增23%;16/12nm则受惠于联发科5G RF transceiver及Bitmain矿机芯片需求强劲,营收季增近10%;而最受市场关注的5nm,受到最大客户苹果(Apple)进入生产淡季的影响,营收则有所下滑。 三星第一季营收为41.1亿美元,季减2%,主要是德州奥斯汀Line S2于二月受暴风雪袭击而断电停工,至四月初才全数恢复生产,暂停投片将近一个月所致,故使其成为第一季少数营收衰退的晶圆代工厂之一。联电则在PMIC、TDDI、OLED DDI、CIS、及WiFi SoC等多项产品需求驱动下,除了产能利用率维持满载,出货动能亦相当强劲,在产能供不应求的情况下调涨价格,带动第一季营收至16.8亿美元,季增5%。 格芯第一季营收达13亿美元,季减16%,受其出售新加坡8英寸晶圆厂Fab3E给世界先进(VIS)影响,今年第一季起已不再有任何来自该厂客户的最终采购(Last time buy)或未消化订单(Backlog order),导致格芯成为第一季少数营收衰退的晶圆代工厂之二。中芯国际第一季营收达11亿美元,季增12%,主要动能来自Qualcomm、MPS大幅投产0.15/0.18um PMIC,以及40nm RF、MCU、WiFi的强劲需求,此外40/28nm HV制程DDI产品投片亦有显著的提升,而中芯去年在被列入实体清单前,已备有相当高的零部件及原物料库存,故目前各项营运皆正常运作。 力积电营收首次超前高塔,第二季前十大业者总产值可望再创新高力积电受惠于12英寸厂包括Specialty DRAM、DDI、CIS及PMIC产品投片持续挹注,加上平均销售单价上涨,第一季首度超越高塔半导体,营收达3.9亿美元,季增14%。高塔半导体第一季营收约略持平去年第四季,达3.5亿美元,季增1%,主要动能来自RF SOI及工业用、车载相关电源管理IC等稳定贡献,并在今年规划额外投资1.5亿美元进行小规模扩产,产能预计于下半年开出。世界先进则持续受惠于大尺寸DDI、PMIC、及车用的复甦,加上平均销售单价上涨,第一季营收达3.3亿美元、季增7%。 华虹半导体第一季营收达3亿美元,季增9%,主要受惠于NOR Flash、CIS、MCU与IGBT等客户需求旺盛,8英寸厂产能全数维持满载且需求稳定,而无锡12英寸厂在Specialty IC各产品平台顺利量产下,产能利用率正迅速攀升,扩产计划亦优于预期。上海华力第一季营收近3亿美元,季减2%,主要营收贡献仍来自于65/55nm,目前正积极开发的14nm仍在验证导入阶段,故尚未贡献营收。 需特别提到的是,第九名华虹半导体与第十名的上海华力同属华虹集团(Hua Hong Group),若合并计算,则华虹集团第一季总营收达6亿美元,位居第六名;而第十名则由东部高科(DBHitek)递补,其持续受惠于8英寸PMIC、MEMS、CIS的稳定需求,平均销售单价亦有小幅提升,第一季营收达2.2亿美元,季增7%,但目前东部高科产能利用率已满载且无扩产计划,因此未来营收成长仅仰赖平均销售单价的提升,整体成长幅度相对受限。 TrendForce集邦咨询认为,第二季晶圆代工仍将处于供不应求态势,平均销售单价亦持续上扬,有望推升第二季各大业者营收表现。原因是在上半年并没有明显的产能扩充下,各项零部件拉货动能依然强劲,各厂产能利用率普遍维持满载。而各国政府介入车用芯片生产排程,恐将扩大产能排挤效应。总结,第二季前十大晶圆代工业者总产值有望再次创单季新高,季增1~3%。 
发布时间:2021-05-31 00:00 阅读量:1248 继续阅读>>
车用芯片库存见底,韩国求助中国台湾<span style='color:red'>晶圆代工</span>厂
  据中央社报道称,三星电子生产芯片不足,韩国车商现代、起亚严重缺乏车用芯片,库存量最多只剩3至6个月,因此,韩国也向中国台湾求助。相关官员证实,韩国方面人士3月初亲访中国台湾经济部门主管王美花,表达迫切需求,盼中国台湾协助。  全球车用芯片大缺货,继美国、德国、日本等国向中国台湾求助后,身为全球半导体业强国的南韩竟也闹“芯片荒”。南韩现代汽车、起亚汽车生产所需的芯片库存拉警报,缺货缺到南韩贸工能源部(Ministry of Trade, Industry and Energy)日前向中国台湾驻韩代表处寻求援助,点名要台积电代工的芯片。  全球汽车制造商最近拉警报,首先是美国通用汽车,2月3日在美国、加拿大、墨西哥3座工厂暂停生产,位在南韩的通用产线产量也减半,如今车用芯片缺货潮,连南韩政府也束手无策,包括现代汽车、起亚汽车的车用半导体库存量,最多只剩下3至6个月。  尽管南韩身为全球半导体业强国,但安装在汽车内的半导体却高度依赖外国供应商。为因应断炊危机,南韩政府正在召集国内芯片商与汽车厂成立车用芯片“国家队”,除为解决车用芯片短缺问题外,也为成立1家合资企业奠定基础,看好未来车辆对芯片与日俱增的需求。  官员也指出,王美花日前已召集晶圆代工台积电等大厂召开因应会议,讨论出1个共识、3个方法,包括厂商将优化生产线,将100%产能提高至102%至103%、优先解决车用芯片供给,并协调其他客户需求等。官员强调,无论是美、日、德还是韩国,经部立场一致,已请厂商尽量挤出产能。  据韩国汽车产业协会统计,韩国IC设计公司多无自设工厂,开发的车用芯片仅2.2% 委托国内业者代工生产,而大部分韩国整车厂考量安全性及购买价格,仍偏好向长期合作的德国英飞凌(Infineon)、荷兰恩智浦、意法半导体等海外业者购买。但英飞凌、恩智浦、意法半导体等国际芯片大厂虽自有产能,部分仍委托台积电代工。  此外,高通CEO史蒂夫·莫伦科夫近日也表示:“现在,汽车芯片短缺是广泛存在的问题,市场其实可以更快地去反应,一些新兴的技术可能反应相对较慢,我们一直在看到一些改进,但是我们还是需要时间去出货、供给”。至于改善之处,他解释说,采用成熟制程节点的产品或许能改善缺货问题,但对于先进制程的产品,要解决的情况仍较为复杂。  据了解,从2020年下半年开始,芯片短缺的问题就成为半导体行业的热点,时至今日,芯片短缺问题日渐严重,其中包括汽车、手机、游戏机、PC等产业相继受到影响。  目前,三星电子是全球最大的芯片和消费电子产品制造商之一,它对芯片短缺蔓延至汽车制造业以外表示担忧。三星电子联席首席执行官兼移动通信业务总裁高东进表示,目前该公司IT部门芯片以及相关零部件的供需失衡状况非常严重,可能在第二季度出现小问题,但该公司正在继续解决供应问题。    高东真表示,三星可能会决定在2021年下半年不推出Galaxy Note,从而打破该名目系列连续多年的年度发布会。他说:“Note系列被定位为我们业务组合中的高端机型,在一年内推出两款旗舰机型可能是一种负担,所以再发布Note机型可能会有困难。Note机型的发布时间可以改变,但我们争取在明年发布Note机型”。
关键词:
发布时间:2021-03-23 00:00 阅读量:1210 继续阅读>>
<span style='color:red'>晶圆代工</span>产能吃紧、水资源成隐患!台积电正式启用水车
三星电子:暂无计划在西安投建8英寸<span style='color:red'>晶圆代工</span>厂
台湾<span style='color:red'>晶圆代工</span>三强10月营收强劲
本周,中国台湾晶圆代工三强台积电、联电和世界先进相继发布了10月财报。台积电10月合并营收1,193.03亿元新台币,与9月合并营收1,275.85亿元相较减少6.5%,与去年同期10月合并营收1,060.40亿元相较成长12.5%,改写历年同期新高纪录。累计前10个月合并营收正式突破1兆元大关达1.097兆元规模,与去年同期8587.88亿元相较成长27.7%。台积电预估第四季美元营收达124~127亿美元,与第三季相较成长2.2~4.6%,在假设新台币兑美元汇率升值且达28.75元情况下,第四季新台币营收介于3,565.00~3,651.25亿元之间,较第三季持平至成长2.4%。平均毛利率介于51.5~53.5%,营业利益率达40.5~42.5%。法人预期,台积电受惠于5G智能型手机、高效能运算(HPC)、物联网(IoT)等带动先进制程强劲需求,其中苹果7nm及5nm等先进制程订单出货畅旺,第四季营收表现应可达到业绩展望上缘,单月营收有机会挑战1,300亿元,季度营收将续创历史新高。联电9日公告10月合并营收152.83亿元,较9月成长5.2%,与去年同期相较成长4.8%,创下单月营收历史次高,累计前十个月合并营收1,468.07亿元,较去年同期成长21.4%。联电第四季预估晶圆出货量较上季增加1~2%,且部分晶圆代工价格调涨后推升晶圆平均美元价格较上季增加1%。法人预估联电第四季营收将逾460亿元续创历史新高。以10月营收来看,11月及12月营收应可维持在150亿元以上高档。联电总经理王石指出,第四季居家上班与在家学习趋势的推动,消费性和计算机相关应用的需求将引导晶圆出货量温和增长。此外,联电在特殊应用电子产品内硅含量的提升,特别是在新布建的5G智能型手机、物联网(IoT)装置、及其他消费应用产品都将进一步推升对半导体的需求。世界先进9日公告10月合并营收28.48亿元,约与9月持平,与去年同期相较成长16.0%,为历年同期新高。累计前十个月合并营收达272.62亿元,与去年同期相较成长16.5%。世界先进预期第四季营收介于84~88亿元之间,法人预估11月及12月营收表现约与10月相当,季度营收应可改写历史新高。世界先进第四季受惠于8吋晶圆代工价格调涨,面板驱动IC及电源管理IC等订单强劲,加上车用电子相关晶圆代工订单回温,对第四季看法乐观,且预期8吋晶圆代工产能供不应求情况将会延续到明年一整年。
关键词:
发布时间:2020-11-11 00:00 阅读量:1578 继续阅读>>
高通、博通放弃中芯国际转投台积电,28nm<span style='color:red'>晶圆代工</span>的“汤”都喝不上?
  中芯国际是中国大陆第一家提供 28 纳米先进工艺制程的纯晶圆代工企业。中芯国际的 28 纳米技术是业界主流技术,包含传统的多晶硅(PolySiON)和后闸极的高介电常数金属闸极(HKMG)制程。  作为国内晶圆代工的龙头,中芯国际的进展可谓神速。2013 年中芯国际就宣布开发 28nm 工艺,2015 年就已经传出中芯国际量产的消息。  中芯国际 28nm 项目成功量产其实是当年高通的一种妥协。中芯国际在 28nm 工艺制程上的快速进展得到了高通的帮助,去年中国发起了对高通的反垄断调查,为了换取大陆减轻处罚高通当时做出了一系列的让步,其中之一正是与中芯国际合作研发 28nm 工艺。  2015 年之后,中芯国际在 28nm 开始良率爬升,2018 年已经达到了上量阶段。  然而,近来国际客户开始出现中芯国际转单台积电的情况。据台媒 DigiTimes 报道,供应链表示,台积电 28nm 制程产能利用率过去始终未达预期,第 4 季度出现多年未见的满载情况。  报道指出,其中,高通(Qualcomm),博通(Broadcom)将原在中芯 28nm 制程生产的产品提早转移过来,成为台积电 28nm 产能利用率达 100% 的主要原因。  这样的情况让中芯国际的情况更加不容乐观。对于中芯国际而言压力非常大,因为 28nm 工艺量产 5 年了,到现在还在亏钱,按照这个逻辑,14nm 工艺要盈利还遥遥无期,怎么得也得 3、5 年。  为何 2015 年量产的 28nm 工艺,到现在还在亏钱呢?原因就在于投入太大,而产出太小,所以前期的投入还没收回成本。
关键词:
发布时间:2020-11-09 00:00 阅读量:1382 继续阅读>>

跳转至

/ 4

  • 一周热料
  • 紧缺物料秒杀
型号 品牌 询价
RB751G-40T2R ROHM Semiconductor
CDZVT2R20B ROHM Semiconductor
MC33074DR2G onsemi
BD71847AMWV-E2 ROHM Semiconductor
TL431ACLPR Texas Instruments
型号 品牌 抢购
BP3621 ROHM Semiconductor
IPZ40N04S5L4R8ATMA1 Infineon Technologies
BU33JA2MNVX-CTL ROHM Semiconductor
TPS63050YFFR Texas Instruments
STM32F429IGT6 STMicroelectronics
ESR03EZPJ151 ROHM Semiconductor
热门标签
ROHM
Aavid
Averlogic
开发板
SUSUMU
NXP
PCB
传感器
半导体
关于我们
AMEYA360商城(www.ameya360.com)上线于2011年,现有超过3500家优质供应商,收录600万种产品型号数据,100多万种元器件库存可供选购,产品覆盖MCU+存储器+电源芯 片+IGBT+MOS管+运放+射频蓝牙+传感器+电阻电容电感+连接器等多个领域,平台主营业务涵盖电子元器件现货销售、BOM配单及提供产品配套资料等,为广大客户提供一站式购销服务。