时间上落后台积电7nm工艺,三星能靠EUV技术翻盘?

发布时间:2017-06-19 00:00
作者:
来源:柏铭科技
阅读量:1151


台积电因连续在14/16nm FinFET、10nm工艺上落后于三星,其急于在7nm工艺上取得领先优势,宣布将在明年初量产7nm工艺,三星也在明年量产7nm工艺,不过后者明年就会引入EUV(极紫外光微影)技术,在事实上领先台积电。

 

时间上落后台积电7nm工艺,三星能靠EUV技术翻盘?

 

这一情形,其实在16nmFinFET工艺上出现过,当时台积电率先于2014年三季度投产16nm工艺,然而因为该工艺的能效不如20nm,导致少有客户采用,仅有的两个客户之一的华为海思也不过是用16nm工艺生产其对能效要求不高的网通处理器,其两个大客户高通和苹果都采用20nm工艺生产骁龙810、A8处理器。

于是台积电加紧为16nm引入FinFET工艺,直到2015年三季度才投产16nm FinFET工艺,而三星则在2015年一季度即投产14nm FinFET工艺。

由于三星的14nm FinFET工艺表现优异,采用该工艺生产的Exynos7420处理器表现优异;而采用台积电20nm工艺生产的高通的骁龙810出现了发热问题,骁龙810是高通首款采用ARM公版核心A57和A53的芯片,受骁龙810发热的影响,华为海思和联发科都放弃了采用ARM高性能公版核心A57推手机芯片的计划,这让三星的Exynos7420成为2015年Android手机芯片市场的性能之王。

三星于2016年四季度量产10nm工艺,台积电则于今年初量产10nm工艺,两家都出现了良率问题。似乎三星10nm工艺的良率提升较快,采用该工艺生产的骁龙835和Exynos8895芯片开始规模上市并用于它自家的Galaxy S8手机上,中国大陆的手机品牌小米也已采用骁龙835芯片推出小米6手机,再次领先台积电一局。

为扳回局面,台积电宣布将在明年初量产7nm工艺,有可能先于三星量产7nm工艺。不过消息指台积电的7nm工艺并没引入EUV(极紫外光微影)技术,其要到2019年才会推出采用EUV技术的7nm工艺,重演了16nm FinFET的历史,三星的7nm工艺则会引入EUV技术。

三星率先引入EUV技术,将会帮助它在更先进的5nm等工艺上领先台积电。EUV光刻被认为肩负着缩小晶体管尺寸的重任,是开发7nm、5nm等更先进工艺的关键,这与FinFET工艺帮助半导体制造工厂从20nm工艺提升至14/16nm相似。

当然三星方面似乎也担心自己采用EUV技术的7nm工艺能否按时量产,将会推出10nm工艺的改良版8nm工艺,以与台积电的7nm工艺竞争。

这就引发了一场争论,高通的下一代高端芯片骁龙845会采用台积电的7nm、三星的8nm或者采用EUV技术的7nm?考虑到台积电的先进工艺产能难以满足苹果和高通两大芯片企业的需求以及三星采用EUV技术的7nm工艺无法在明年初量产的问题,骁龙845很可能会采用三星的8nm工艺。

(备注:文章来源于网络,信息仅供参考,不代表本网站观点,如有侵权请联系删除!)

在线留言询价

相关阅读
台积电官宣1.6nm,多项新技术同时公布
  台积电三表示,一种名为“A16”的新型芯片制造技术将于 2026 年下半年投入生产,与长期竞争对手英特尔展开对决——谁能制造出世界上最快的芯片。  台积电是全球最大的先进计算芯片合同制造商,也是 Nvidia 和苹果的主要供应商,台积电在加利福尼亚州圣克拉拉举行的一次会议上宣布了这一消息,台积电高管表示,人工智能芯片制造商可能是该技术的首批采用者,而不是智能手机制造商。  分析师告诉路透社,周三宣布的技术可能会让人对英特尔在 2 月份声称的将超越台积电,采用英特尔称之为“14A”的新技术制造世界上最快的计算芯片的说法提出质疑。  台积电业务发展高级副总裁Kevin Zhang告诉记者,由于人工智能芯片公司的需求,该公司开发新的 A16 芯片制造工艺的速度比预期更快,但没有透露具体客户的名称。  张说,人工智能芯片公司“真的希望优化他们的设计,以获得我们拥有的每一盎司性能”。  张说,台积电认为不需要使用ASML的High NA EUV光刻机用于构建 A16 芯片的新型“高 NA EUV”光刻工具机。英特尔上周透露,它计划成为第一个使用这些机器(每台售价 3.73 亿美元)来开发其 14A 芯片的公司。  台积电还透露了一项从芯片背面为计算机芯片供电的新技术,有助于加快AI芯片的速度,并将于2026年推出。英特尔已经宣布了一项类似的技术,旨在成为其主要竞争优势之一。  台积电表示,随着台积电行业领先的 N3E 技术现已投入生产,N2 也有望在 2025 年下半年投入生产,台积电推出了 A16,这是其路线图上的下一个技术。A16 将把台积电的 Super Power Rail 架构与其纳米片晶体管结合起来,计划于 2026 年生产。它通过将前端布线资源专用于信号来提高逻辑密度和性能,使 A16 成为具有复杂信号路线和密集电力传输网络的 HPC 产品的理想选择。与台积电的N2P工艺相比,A16将在相同Vdd(正电源电压)下提供8-10%的速度提升,相同速度下功耗降低15-20%,并为数据中心产品提供高达1.10倍的芯片密度提升。  分析师表示,这些公告让人对英特尔声称将重新夺回世界芯片制造桂冠的说法产生了质疑。  分析公司 TechInsights 副主席丹·哈奇森 (Dan Hutcheson) 在谈到英特尔时表示:“这是有争议的,但从某些指标来看,我认为他们并不领先。”但 TIRIAS Research 负责人 Kevin Krewell 警告称,英特尔和台积电的技术距离交付技术还需要数年时间,需要证明真正的芯片与其主题演讲相匹配。  据报道,台积电的新技术在北美的技术会议上宣布的,据介绍,这是公司在北美举办的第三世界会议。据相关报道,公司在会议上还公布了以下技术:  TSMC NanoFlex 纳米片晶体管创新:台积电即将推出的 N2 技术将与 TSMC NanoFlex 一起推出,这是该公司在设计技术协同优化方面的下一个突破。TSMC NanoFlex 为设计人员提供了 N2 标准单元(芯片设计的基本构建模块)的灵活性,短单元(short cells)强调小面积和更高的功率效率,而高单元(tall cells)则最大限度地提高性能。客户能够在同一设计模块中优化短单元和高单元的组合,调整其设计以实现其应用的最佳功耗、性能和面积权衡。  N4C 技术:台积电宣布推出 N4C,将台积电的先进技术推向更广泛的应用领域,它是 N4P 技术的延伸,可降低高达 8.5% 的芯片成本,且采用成本低,计划于 2025 年量产。N4C 提供面积高效的基础IP 和设计规则与广泛采用的 N4P 完全兼容,通过减小芯片尺寸而提高产量,为价值层产品迁移到台积电的下一个先进技术节点提供了经济高效的选择。  CoWoS 、SoIC 和晶圆系统 (TSMC-SoW):台积电的基板晶圆上芯片 (CoWoS ) 允许客户封装更多处理器内核和高带宽内存,成为人工智能革命的关键推动者(HBM) 并排堆叠在一个中介层上。与此同时,我们的集成芯片系统 (SoIC) 已成为 3D 芯片堆叠的领先解决方案,客户越来越多地将 CoWoS 与 SoIC 和其他组件搭配使用,以实现最终的系统级封装 (SiP) 集成。  借助晶圆系统,台积电提供了一种革命性的新选项,可在 300 毫米晶圆上实现大量芯片,提供更强的计算能力,同时占用更少的数据中心空间,并将每瓦性能提高几个数量级。台积电的首款 SoW 产品是一种基于集成扇出 (InFO) 技术的纯逻辑晶圆,现已投入生产。利用 CoWoS 技术的晶圆上芯片版本计划于 2027 年准备就绪,能够集成 SoIC、HBM 和其他组件,以创建强大的晶圆级系统,其计算能力可与数据中心服务器机架甚至整个服务器相媲美。服务器。  硅光子集成:台积电正在开发紧凑型通用光子引擎(COUPE)技术,以支持人工智能热潮带来的数据传输爆炸式增长。COUPE 使用 SoIC-X 芯片堆叠技术将电气芯片堆叠在光子芯片之上,从而在芯片间接口处提供最低的阻抗,并且比传统的堆叠方法具有更高的能效。台积电计划在 2025 年使 COUPE 获得小型可插拔产品的资格,然后在 2026 年作为共封装光学器件 (CPO) 集成到 CoWoS 封装中,将光学连接直接引入封装中。  汽车先进封装:在2023年推出N3AE“Auto Early”工艺后,台积电通过将先进硅与先进封装相集成,继续满足汽车客户对更强计算能力的需求,满足高速公路的安全和质量要求。台积电正在开发适用于高级驾驶辅助系统 (ADAS)、车辆控制和车辆中央计算机等应用的 InFO-oS 和 CoWoS-R 解决方案,目标是在 2025 年第四季度之前获得 AEC-Q100 2 级资格。
2024-04-25 11:19 阅读量:348
消息称台积电明年为苹果量产2nm芯片
台积电预计2030年实现1纳米制程芯片生产
  台积电日前在2023年IEEE国际电子元件会议(IEDM)上,发布进军至1nm制程的产品规划蓝图,这一计划与英特尔去年透露的规划类似。  根据规划,台积电将并行推动3D封装和单芯片封装的技术路径的发展。预计在2025年,台积电将完成N2和N2P节点,使得采用3D封装的芯片晶体管数量超过5000亿个,而采用传统封装技术的芯片晶体管数量超过1000亿个。  台积电计划在2027年达到A14节点,并在2030年达到A10节点,即1nm制程芯片。届时,采用台积电3D封装技术的芯片晶体管数量将超过1万亿个,而采用传统封装技术的芯片晶体管数量将超过2000亿个。  据悉,台积电将使用EUV极紫外光刻、新通道材料、金属氧化物ESL、自对齐线弹性空间、低损伤低硬化低K铜材料填充等等一系列新材料、新技术,并结合CoWoS、InFO、SoIC等一系列封装技术。  台积电在会议上还透露,其 1.4nm 级工艺制程研发已经全面展开。同时,台积电重申,2nm 级制程将按计划于 2025 年开始量产。  尽管台积电的发展速度有所放缓,但其在半导体代工领域的竞争对手,如三星等公司,仍在不断努力追赶台积电在先进制程领域的领先地位。今年六月,三星代工公布了其最新的工艺技术发展路线图,计划在2025年推出2纳米制程的SF2工艺,并在2027年推出1.4纳米制程的SF1.4工艺。如果这些计划能够如期实现,三星有可能在与台积电相似的时间节点上实现类似的先进工艺水平。
2023-12-29 14:52 阅读量:1230
台积电计划在日本建第三工厂 生产3纳米芯片
  据知情人士透露,台积电考虑在日本建设第三座芯片工厂,生产先进3纳米芯片;这可能使日本成为一个重要的全球芯片制造中心。知情人士说,这家芯片代工大厂已经告知供应链合作伙伴,其考虑在日本南部的熊本县建设第三个工厂,项目代号台积电Fab-23三期。  台积电目前正在日本建设第一个工厂,生产性能低一些的芯片;据知情人士指出,还计划建设第二座芯片工厂。目前尚不清楚何时开建第三工厂。3纳米芯片制程是目前商用的最先进芯片制造技术,不过等到新工厂量产时,3纳米可能会落后届时最新技术1-2个世代。  对此,台积电发言人表示:“我们将根据客户需求、运营效率、政府补贴、经济状况等来决定产能扩张策略。台积电致力于长期满足客户需求并支持半导体行业。” “我们正在进行投资,以应对制造业的结构性变化。我们正在考虑在日本建设第二家工厂的可能性,但目前我们没有进一步的信息可以透露。”  日本首相岸田文雄(Fumio Kishida)政府一直在提供数万亿日元的补贴,以吸引国内外半导体企业的投资。除了台积电,日本还成功获得了美光科技、三星电子和力积电(Powerchip)的投资。日本官员还帮助国内初创企业Rapidus公司在北海道建立尖端2nm芯片的生产线。  据了解,一个3纳米晶圆厂的成本可能高达200亿美元,其中包括用于生产的机器,尽管具体的成本将取决于工厂何时建成,以及如何获得土地和其他材料。目前尚不清楚台积电预计在第三家晶圆厂投入多少资金。日本通常承担此类设施成本的50%左右。
2023-11-22 10:00 阅读量:1269
  • 一周热料
  • 紧缺物料秒杀
型号 品牌 询价
CDZVT2R20B ROHM Semiconductor
RB751G-40T2R ROHM Semiconductor
TL431ACLPR Texas Instruments
MC33074DR2G onsemi
BD71847AMWV-E2 ROHM Semiconductor
型号 品牌 抢购
BP3621 ROHM Semiconductor
BU33JA2MNVX-CTL ROHM Semiconductor
TPS63050YFFR Texas Instruments
ESR03EZPJ151 ROHM Semiconductor
IPZ40N04S5L4R8ATMA1 Infineon Technologies
STM32F429IGT6 STMicroelectronics
热门标签
ROHM
Aavid
Averlogic
开发板
SUSUMU
NXP
PCB
传感器
半导体
相关百科
关于我们
AMEYA360微信服务号 AMEYA360微信服务号
AMEYA360商城(www.ameya360.com)上线于2011年,现 有超过3500家优质供应商,收录600万种产品型号数据,100 多万种元器件库存可供选购,产品覆盖MCU+存储器+电源芯 片+IGBT+MOS管+运放+射频蓝牙+传感器+电阻电容电感+ 连接器等多个领域,平台主营业务涵盖电子元器件现货销售、 BOM配单及提供产品配套资料等,为广大客户提供一站式购 销服务。