Imec Aims 2-D FETs at Sub-5-nm Node

发布时间:2017-07-14 00:00
作者:Ameya360
来源:R. Colin Johnson
阅读量:991

  Designers can extend Moore's Law scaling beyond the 5-nanometer node by choosing two-dimensional anisotropic (faster with the grain) materials such as monolayers of black phosphorus, according to Imec (Leuven, Belgium). Researchers from the nonprofit semiconductor research institute described their findings at the annual Imec Technology Forum, held in San Francisco on the eve of Semicon West (July 11-13).

  Imec’s demonstration project focused on field-effect transistors for high-performance logic applications as part of its Core CMOS program. Using co-optimization at the material, device, and circuit levels, Imec and its collaborators proved the concept using 2-D monolayers of anisotropic black phosphorus with a smaller effective mass in the transport direction. The black phosphorus was sandwiched between interfacial layers of low-k dielectric, with stacked dual gates deployed atop high-k dielectrics to control the atomically thin channels.

  Imec demonstrated the co-optimization approach at the 10-nm node but says the architecture could function with sub-half volt (<0.5-V) power supplies and an effective oxide thickness of less than 50 angstroms (0.5 nm), allowing its FETs to extend Moore’s Law for high-performance logic applications below the 5-nanometer node.

  The researchers predict the demonstrated architecture, materials, and co-optimization technique will yield reliable FETs with thicknesses all the way down to the single-atom level and gate lengths as short as 20 ?, advancing the nanowire FET as the successor to the FinFET. Imec is evaluating other materials besides black phosphor as prime candidates for extending nanowire FETs to atomic-level 2-D channels.

  Beyond extending Moore’s Law scaling laws for FETs, the 2-D materials will enhance the development of photonics, optoelectronics, biosensing, energy storage, and photovoltaics, according to Imec.

  The institute conducted the research in collaboration with scientists from Belgium’s Catholic University of Leuven (Belgium) and Italy’s Pisa University. Funding for the 10-nm demonstration came from the European Union’s Graphene Flagship research initiative along with Imec’s Core CMOS Program partners, which include GlobalFoundries, Huawei, Intel, Micron, Qualcomm, Samsung, SK Hynix, Sony Semiconductor Solutions, and TSMC.

  For more details, see the free Nature scientific report “Material-Device-Circuit Co-optimization of 2D Material based FETs for Ultra-Scaled Technology Nodes,” in which Imec presents guidelines on choosing materials, designing the devices, and optimizing the performance of sub-10-nm high-performance logic chips. Imec explains that at gate lengths below 5 nm, the 2-D electrostatistics associated with gate stacking become more of a challenge than direct source-to-drain tunneling for 2-D-material-based FETs.


(备注:文章来源于网络,信息仅供参考,不代表本网站观点,如有侵权请联系删除!)

在线留言询价

相关阅读
Imec and ASML announce EUV lithography collaboration
imec, the research and innovation hub in nanoelectronics and digital technologies, and ASML, a leading developer of lithographic equipment, have announced the next step in their ongoing and extensive collaboration.The two are looking to accelerate the adoption of EUV lithography for high-volume production, including the current latest available equipment for EUV (0.33 Numerical Aperture, NA). Moreover, they are looking to explore the potential of the next-generation high-NA EUV lithography to enable printing of even smaller nanoscale devices advancing semiconductor scaling towards the post 3 nanometer Logic node. As a result they have established a joint high-NA EUV research lab.In 2014, they created a joint research centre, the Advanced Patterning Center, to optimize lithography technology for advanced CMOS integration and to prepare the ecosystem to support advance patterning requirements. The next stage of this co-operation will see the installation of ASML’s most advanced and high-volume production dedicated EUV scanner (NXE:3400B) in imec’s cleanroom.Using imec’s infrastructure and advanced technology platforms, researchers and partner companies will be able to pro-actively analyse and solve technical challenges such as defects, reliability and yield, and as such help to accelerate the EUV technology’s industrialisation.With a 250W light source, ASML’s newest EUV system throughput will be more than 125 wafers per hour, one of the industry’s most important requirements for high-volume production. The NXE:3400B will also be equipped with the latest alignment and leveling sensors, to enable optimal process control at this high throughput. This will facilitate the overlay matching of the NXE:3400B to that of the latest immersion scanner, NXT:2000i, that will also be installed in imec’s cleanroom in 2019. In addition, ASML and imec will expand the metrology capability with new ASML YieldStar optical metrology and ASML-HMI Multi-electron beam metrology equipment, allowing more accurate and faster evaluation of nanoscale structures.The joint high-NA EUV research lab will see researchers from both organisations experiment with the next generation of EUV lithography at higher NA. Systems with a higher NA project the EUV light onto the wafer under larger angles, improving resolution, and enabling printing of smaller features. More specifically, the new high-NA EUV system, EXE:5000, that will be installed in the joint research lab, will have an NA of 0.55 instead of 0.33 in current NXE:3400 EUV systems.Already, the first joint scientific projects to facilitate the introduction of high-NA EUV, are ongoing. In the joint research lab, ASML and imec will perform research on the manufacturing of the most advanced nanoscale devices by high-NA EUV and assist the ecosystem of equipment and material suppliers to prepare for the introduction of high-NA EUV technology to the industry.Commenting Luc Van den hove, President and CEO of imec, said: “The new EUV scanners and ASML metrology equipment will allow our industry partners to perform collaborative research on the most advanced and industry relevant lithography and metrology equipment. ASML and imec have a nearly 30 year long tradition of joint research, leading to breakthrough patterning research to advance the semiconductor industry roadmap.”
2018-10-26 00:00 阅读量:1150
Engineering needs to be promoted more effectively at schools, says IMechE
  School students have little exposure or understanding of engineering, which is leading most to choose subjects which effectively rule out this career path early in their schooling, according to a report from the Institution of Mechanical Engineers.  The report – We think it's important but don't quite know what it is: The Culture of Engineering in Schools – says that, although students have a vague sense of engineering’s value, its low visibility in schools means they do not feel informed or confident enough to consider it as a future career. The report also notes that teachers and career professionals lack the time, knowledge and resources to communicate the breadth of career opportunities to students.  Peter Finegold, the IMechE’s head of education and skills, said: “The report’s findings show positive attitudes and appreciation of engineering among students, parents, teachers and school governors alike. However, few schools are integrating engineering into their teaching and the wider school culture. This is undoubtedly detrimental; not just to the future of pupils in these schools, but also to UK society more generally.”  The report, the third in a series in which the IMechE looks at engineering in schools, calls for the Government to rethink how engineering is presented to future generations, especially girls.  “This lack of exposure to engineering has led to students developing a vague and incoherent understanding of the profession, its career opportunities and what it does for society,” said Finegold. “We accept that Government is unlikely to change the curriculum fundamentally or introduce engineering as a standalone school subject. Therefore, we recommend that the socially beneficial, problem-solving aspects of engineering are integrated into the existing curriculum, particularly in science and technology subjects, enhancing young people’s exposure to engineering and its world-changing potential.”  The report has nine key recommendations:  Government should establish a working group of leading educationalists and other stakeholders to examine innovative ways in which engineering can be integrated into the curriculum;Government to appoint a National Schools Engineering Champion to provide an effective communication channel between schools, Government and industry;National Education Departments to advocate curricula that reflect the ‘made world’ to modern society, including reference to engineering in maths and D&T;National Education Departments to promote a problem based approach to learning;Schools to appoint an Engineering and Industry Leader within their senior leadership team;Schools to appoint an Industry School Governor to support the Engineering and Industry Leader and embed employer relationships within the school;Schools to implement a robust careers strategy, using benchmarks set out in the Gatsby Foundation Good Career Guidance;The engineering community to agree a unified message about engineering, stressing creative problem-solving and the social benefits of the profession;The engineering community to provide students with the opportunity to take part in activities that explore the political, societal and ethical aspects of technology.  Finegold concluded: “As 2018 has been designated the ‘Year of Engineering’, with support across five Government departments, we believe it is time Government, as part of its future industrial strategy, ensures engineering is placed at the heart of our education system.”
2017-11-27 00:00 阅读量:893
  • 一周热料
  • 紧缺物料秒杀
型号 品牌 询价
MC33074DR2G onsemi
BD71847AMWV-E2 ROHM Semiconductor
TL431ACLPR Texas Instruments
CDZVT2R20B ROHM Semiconductor
RB751G-40T2R ROHM Semiconductor
型号 品牌 抢购
ESR03EZPJ151 ROHM Semiconductor
IPZ40N04S5L4R8ATMA1 Infineon Technologies
BU33JA2MNVX-CTL ROHM Semiconductor
STM32F429IGT6 STMicroelectronics
BP3621 ROHM Semiconductor
TPS63050YFFR Texas Instruments
热门标签
ROHM
Aavid
Averlogic
开发板
SUSUMU
NXP
PCB
传感器
半导体
相关百科
关于我们
AMEYA360微信服务号 AMEYA360微信服务号
AMEYA360商城(www.ameya360.com)上线于2011年,现 有超过3500家优质供应商,收录600万种产品型号数据,100 多万种元器件库存可供选购,产品覆盖MCU+存储器+电源芯 片+IGBT+MOS管+运放+射频蓝牙+传感器+电阻电容电感+ 连接器等多个领域,平台主营业务涵盖电子元器件现货销售、 BOM配单及提供产品配套资料等,为广大客户提供一站式购 销服务。