TSMC Holds Volume Production and Capacity Expansion Ceremony for 3nm Facility

发布时间:2023-01-04 09:32
作者:Ameya360
来源:网络
阅读量:1622

  TSMC held a 3nm Volume Production and Capacity Expansion Ceremony at its Fab 18 new construction site in STSP last December 29.

TSMC Holds Volume Production and Capacity Expansion Ceremony for 3nm Facility

  Taiwan Semiconductor Manufacturing Co. Ltd (TSMC) held a 3nm Volume Production and Capacity Expansion Ceremony at its Fab 18 new construction site in the Southern Taiwan Science Park (STSP) last December 29, bringing together suppliers, construction partners, central and local government, the Taiwan Semiconductor Industry Association, and members of academia to witness an important milestone in the company’s advanced manufacturing.

  TSMC has laid a strong foundation for 3nm technology and capacity expansion, with Fab 18 located in the STSP serving as the company’s GIGAFAB facility producing 5nm and 3nm process technology. TSMC announced that 3nm technology has successfully entered volume production with good yields, and held a topping ceremony for its Fab 18 Phase 8 facility. The company estimates that 3nm technology will create end products with a market value of $1.5 trillion within five years of volume production.

  Phases 1 through 8 of TSMC Fab 18 each have cleanroom area of 58,000sqm, approximately double the size of a standard logic fab. TSMC’s total investment in Fab 18 will exceed NT$1.86 trillion, creating more than 23,500 construction jobs and over 11,300 high-tech direct job opportunities. In addition to expanding 3nm capacity in Taiwan, TSMC is also building 3nm capacity at its Arizona site.

  TSMC also announced that its global R&D Center in the Hsinchu Science Park will officially open in the second quarter of 2023, to be staffed by 8,000 R&D personnel. TSMC is also making preparations for its 2nm fabs, which will be located in the Hsinchu and Central Taiwan Science Parks, with a total of six phases proceeding as planned.

  TSMC Chairman Dr. Mark Liu presided over the 3nm Volume Production and Capacity Expansion Ceremony, and notable guests at the event included Vice Premier Shen Jong-chin, Minister of Economic Affairs Wang Mei-hua, Minister of Science and Technology Wu Tsung-tsong, Tainan City Mayor Huang Wei-che, STSP Administration Bureau Director-General Su Chen-kang, Fu Tsu Construction Chairman Cliff Lin, United Integrated Services Chairman Belle Lee, National Cheng Kung University President Dr. Jenny Su, Chang Chun Petrochemical President Chih-Chuan Tsai, Kuang Ming Enterprise Co. Vice Chairman Eric Lin, and Applied Materials Group Vice President Erix Yu, as well as representatives from TSMC’s construction partners, materials and equipment suppliers, the Taiwan Semiconductor Industry Association and academic institutions.

  “TSMC is maintaining its technology leadership while investing significantly in Taiwan, continuing to invest and prosper with the environment. This 3nm Volume Production and Capacity Expansion Ceremony demonstrates that we are taking concrete action to develop advanced technology and expand capacity in Taiwan,” Dr. Liu said at the ceremony. “We aim to grow together with our upstream and downstream supply chain and develop future talent from design to manufacturing, packaging and testing, equipment, and materials to provide the most competitive advanced process technology and reliable capacity for the world and drive technology innovation in the future.”

  TSMC is committed to flourishing with the natural environment through green manufacturing, and all of TSMC’s construction in the STSP follows Taiwan’s EEWH and the U.S. LEED green building certification standards. The facilities will also use water resources from the TSMC STSP Reclaimed Water Plant to gradually reach the company’s target of using 60% reclaimed water by 2030. Once volume production begins, Fab 18 will use 20% renewable energy to eventually reach the sustainability goal of 100% renewable energy and zero emissions by 2050.

  TSMC’s 3nm process is the most advanced semiconductor technology in both power, performance, and area (PPA) and in transistor technology, and a full-node advance from the 5nm generation. Compared with the 5nm (N5) process, TSMC’s 3nm process offers up to 1.6X logic density gain and 30-35% power reduction at the same speed, and supports the innovative TSMC FINFLEX? architecture.


(备注:文章来源于网络,信息仅供参考,不代表本网站观点,如有侵权请联系删除!)

在线留言询价

相关阅读
Intense Competition in Advancing Processes at the 2nm by Samsung, Intel, and TSMC
  According to TechNews’ report, Gitae Jeong, Vice President of Samsung Electronics, recently revealed in an interview that the company is set to introduce the SF1.4 (1.4nm) process, expected to enter mass production in 2027.  This announcement intensifies the competition in advanced semiconductor manufacturing, particularly in the development of 2.5D/3D integrated heterogeneous structure packaging among the three major semiconductor foundry giants.  *TSMC: N3P Process Superior to Intel 18A, N2 to Lead Industry’s Advanced Processes  Previously, the semiconductor industry reported challenges with both TSMC and Samsung achieving yields above 60% for their 3nm processes due to undisclosed issues. TSMC’s yield was reported to be only 55%, below the normal yield rate.  However, TSMC’s President, C.C. Wei, expressed optimism, stating that current N3 demand is better than three months ago, contributing to a healthy growth outlook for TSMC in 2024.  Wei also anticipates that TSMC’s 3nm process will contribute a mid-single-digit percentage (4%-6%) to the company’s annual wafer revenue in 2023.  Regarding competition with rival Intel’s 18A process, Wei believes that TSMC’s N3P process offers better performance, power, and area (PPA), alongside improved cost efficiency and technical maturity. Furthermore, TSMC’s upcoming N2 process is expected to be the industry’s most advanced when introduced.  *Intel: Striving for the Fourth Customer for 18A Process Outsourcing Orders  Intel’s CEO, Pat Gelsinger, has revealed that the 18A process has secured orders from three customers and aims to acquire a fourth customer by the end of the year. The advanced 18A process is scheduled to begin production at the end of 2024, with one customer already having made an advance payment. External expectations suggest that the customer could possibly be NVIDIA or Qualcomm.  Intel has stated that Intel 4 and Intel 3 processes are similar, as are Intel 20A and Intel 18A processes. Consequently, Intel’s primary focus will be on offering Intel 3 and Intel 18A to semiconductor foundry customers. Meanwhile, Intel 4 and Intel 20A processes are more likely to be used internally. However, Intel is open to accommodating customer requests if they express interest in adopting these later processes.  *Samsung: Commencing Mass Production of SF2 in 2025, Prioritizing Internal Use  Due to challenges with the three-nanometer (3nm) manufacturing process, there have been reports that Samsung plans to shift directly to the more advanced two-nanometer (2nm) process.  According to Samsung’s Foundry Forum (SFF) plan, they will begin mass production of the 2nm process (SF2) in 2025 for mobile applications, expand to high-performance computing (HPC) applications in 2026, and further extend to the automotive sector and the expected 1.4nm process by 2027.  Similar to Intel, Samsung intends to prioritize the production of its own products using the 2nm process. The 2nm process products will initially be utilized for Samsung’s in-house products rather than external customer products.  *Summary  While TSMC’s N3 series currently enjoys broad support, including N3E, N3X, and N3P process series, the move to 2nm introduces new variables as it adopts a completely new GAAFET architecture. Regardless, whether it’s TSMC’s N2, Intel’s 18A, or Samsung’s SF2, each of them possesses its competitive strengths. The industry is also eagerly anticipating the future developments in advanced semiconductor processes.
2023-11-03 14:50 阅读量:1277
TSMC’s Capacity and Orders Surge, Is the Semiconductor Industry Bouncing Back?
  As reported by China Taiwanese media, there’s a gradual uptick in TSMC’s capacity utilization lately, accompanied by a noticeable surge in orders from TSMC’s clients. Some segments of the market are showing signs of rekindled demand, hinting at a possible upswing in the semiconductor industry. Nevertheless, certain semiconductor manufacturing firms remain cautious in their industry outlook.  TSMC’s Capacity Utilization Rate on the Rise  Media’s report indicates that TSMC’s capacity utilization rate has gradually recovered. The 7/6nm utilization, which had dropped to 40% at one point, is now around 60% and could potentially reach 70% by the end of the year. Similarly, the 5/4nm utilization is at 75-80%, and the 3nm capacity, which increases seasonally, is approximately 80%.  Concurrently, TSMC is experiencing a significant uptick in orders from their clients, including tech giants like Apple, MediaTek, NVIDIA, AMD, Intel, Broadcom, Marvell, and STMicroelectronics. Furthermore, AI chip clients such as AMD’s subsidiary Xilinx, Amazon, Cisco, Google, Microsoft, and Tesla have all accepted TSMC’s plan for a price increase in 2024.  Taking Tesla as an example, they are building a supercomputer facility in Austin to accelerate the development of their autonomous driving system, expanding the computing power of Dojo. The core D1 of Dojo is produced using TSMC’s 7nm process and advanced packaging technology. Based on this, Tesla is deepening its collaboration with TSMC, and it’s expected that their order volume will increase from around 5,000 pieces this year to 10,000 pieces next year.  Amid the ongoing AI surge, NVIDIA is actively seeking additional production capacity. On October 19th, NVIDIA’s CEO, Jensen Huang, revealed in an interview that the global demand for AI chips remains robust. He has met with TSMC’s CEO, C.C. Wei, to discuss providing more capacity to serve customers. NVIDIA is in the planning stages for the next generation of chips designed for AI-based infrastructure and has also engaged in discussions with partners such as Quanta and ASUS to strategize collaboration.  Is the Semiconductor Industry on the Rebound?  During TSMC’s Q3 earnings call, C.C. Wei pointed out that, in addition to strong AI demand, there’s a rebound in demand for smartphones and personal computers. As for automotive electronics, benefiting from the continued growth of electric vehicles, the demand for next year is expected to be quite robust. Regarding when the semiconductor industry might hit bottom, Wei remarked that there are some early signs appearing in the PC and mobile phone sectors. However, it remains challenging to predict a strong resurgence as customers are still cautiously managing their inventories.  In response to industry concerns about smartphone growth, TSMC’s CFO, Wendell Huang, noted that smartphone growth is anticipated to remain lower than the company’s future growth rate. High-Performance Computing (HPC) is expected to be the most robust growth segment, making substantial contributions to growth in the coming years.  On the other hand, other semiconductor foundry companies, such as PSMC, have also shared their perspectives on the fourth quarter and future industry developments. Recently, PSMC’s President, Brian Shieh, pointed out that the supply chain’s inventory seems to have reached a reasonable level, with growing demand for mobile panel driver ICs, surveillance system CIS chips, and visibility extending beyond one quarter. Prices for special memory products have started to show an upward trend. Demand for Power Management ICs (PMIC) also displays signs of recovery, even though the trend isn’t as pronounced as that of driver ICs and CIS chips.  Regarding UMC, the company is scheduled to hold an earning call on 25th October. In their previous earnings call for the last quarter, UMC mentioned that due to ongoing adjustments in the supply chain’s inventory, the outlook for wafer demand remains uncertain. Although the industry glimpsed a modest recovery in the second quarter, the overall sentiment in the end-market remains subdued, and customers continue to maintain stringent inventory management practices.
2023-10-25 11:18 阅读量:1207
Intel, Samsung, TSMC Race in Cutting-Edge Processes
  Driven by emerging technologies like AI and high-performance computing, the semiconductor foundry industry increasingly emphasizes the importance of advanced manufacturing processes. Recently, the industry has seen significant developments. Intel announced that it has commenced large-scale production of its Intel 4 process node, while TSMC and Samsung are equally committed to advancing their advanced process technologies.  Intel’s Mass Production of Intel 4 Process Node  On October 15th, Intel China’s official public account revealed that Intel has initiated large-scale production of the Intel 4 process node using Extreme Ultraviolet Lithography (EUV) technology. According to Intel, they are making significant progress with their “Four Years, Five Nodes” plan. This plan aims to produce next-generation products that meet the computational demands driven by AI’s role in the “Siliconomy.”  Being the first process node produced by Intel using EUV lithography technology, Intel 4 offers substantial improvements in performance, efficiency, and transistor density compared to its predecessors. Intel 4 was unveiled at the Intel Innovation 2023 held in September this year.  In comparison to Intel 7, Intel 4 achieves a 2x reduction in area, providing high-performance computing (HPC) logic libraries and incorporating various innovative features.  In detail, Intel 4 simplifies the EUV lithography process, optimizing it for high-performance computing applications, supporting both low voltage (<0.65V) and high voltage (>1.1V) operations. Compared to Intel 7, Intel 4 boasts more than a 20% improvement in iso-power performance, and high-density Metal-Insulator-Metal (MIM) capacitors deliver outstanding power supply performance.  Intel’s “Four Years, Five Nodes” plan is advancing with the following process updates:  Intel 7 and Intel 4 are currently in large-scale production. Intel 3 is on track to meet its planned target by the end of 2023.  Intel’s Intel 20A and Intel 18A, which use Ribbon FET all-around gate transistors and PowerVia backside power delivery technology, are also progressing well, with a target of 2024. Intel will soon introduce the Intel 18A process design kit (PDK) for Intel Foundry Services (IFS) customers.  With the adoption of Intel 4 process nodes, the Intel Core i9 Ultra processor, codenamed “Meteor Lake,” will be released on December 14th this year, ushering in the AIPC era.  On Intel 3 process nodes, the energy-efficient E-core Sierra Forest processor will be launched in the first half of 2024, and the high-performance P-core Granite Rapids processor will follow closely.  Samsung’s 2nm Process Detailed Production Plan  Samsung has already commenced production of its second-generation 3nm chips and plans to continue focusing on 2nm chips.  On June 28th, Samsung Electronics unveiled its latest foundry technology innovations and business strategies at the 7th Samsung Foundry Forum (SFF) in 2023.  In the era of artificial intelligence, Samsung’s foundry program, based on advanced GAA process technology, offers robust support for customers in AI applications. To this end, Samsung has disclosed a detailed production plan and performance levels for its 2nm process. The plan is to achieve mass production for mobile applications by 2025 and respectively expand to HPC and automotive electronics in 2026 and 2027.  Samsung reports that the 2nm process (SF2) improves performance by 12% compared to the 3nm process (SF3), increases efficiency by 25%, and reduces the area by 5%.  Furthermore, reports indicated that Samsung is ensuring the production capacity for products using the next-generation EUV lithography machine, High-NA, in September. This equipment is expected to have a prototype by the end of this year and officially enter production next year.  TSMC’s Mass Production of 2nm by 2025  This year, TSMC has unveiled its latest advanced semiconductor manufacturing roadmap in various locations, including Santa Clara, California, and Taiwan. The roadmap covers a range of processes from 3nm to 2nm.  TSMC’s current roadmap for 3nm includes N3, N3E, N3P, N3X, and N3 AE, with N3 serving as the foundational version, N3E as an enhanced version with further cost optimization, N3P focusing on improved performance with a planned start in the second half of 2024, N3X targeting high-performance computing devices with a mass production goal in 2025, and N3 AE designed specifically for the automotive sector, offering greater reliability and the potential to shorten time-to-market by 2-3 years.  In the 2nm realm, TSMC is planning to achieve mass production of the N2 process by 2025. TSMC has reported that the N2 process will offer a 15% speed improvement over N3E at the same power or a 30% reduction in power consumption, with a 15% increase in transistor density. In September, media reports revealed that TSMC has formed a task force to accelerate 2nm pilot production and mass production, aiming for risk production next year and official mass production in 2025.  To ensure the smooth development of 2nm process technology, TSMC has initiated efforts in the upstream equipment sector. On September 12th, TSMC announced the acquisition of a 10% stake in IMS Nanofabrication, a subsidiary of Intel, for a price not exceeding $432.8 million. IMS specializes in the research and production of electron beam lithography machines, which find extensive applications in semiconductor manufacturing, optical component manufacturing, MEMS manufacturing, and more. The industry sees TSMC’s IMS acquisition as vital for developing crucial equipment and meeting the demand for 2nm process commercialization.
2023-10-18 13:12 阅读量:1211
TSMC Expected to Lower Capital Expenditure, Potentially Falling Below $30 Billion for the Year
  As TSMC’s earnings call approaches, the market is abuzz with rumors that the company may revise down its capital expenditure target for this year. This potential adjustment is believed to be driven by delays in Intel’s 3-nanometer outsourcing and the deferral of the production schedule for TSMC’s 4-nanometer US fab. The initial capital expenditure target, which was close to the $32 billion to $36 billion range, may now be lowered to below $30 billion, marking its lowest point in nearly three years.  According to Taiwan’s Economic Daily, TSMC has refrained from commenting on these speculations. Even if TSMC does adjust its capital expenditure for this year, industry sources suggest that the company will increase its annual R&D expenses, continuing its commitment to advanced research and development.  In recent years, TSMC has rapidly expanded its capital expenditure, reaching a record high of $36.3 billion last year. In the first half of this year, the actual capital expenditure amounted to $18.11 billion, including $8.17 billion in the second quarter, slightly down from the $9.94 billion in the first quarter.  During their July earnings conference, TSMC stated that their capital expenditure for the year would remain in the range of $32 billion to $36 billion. However, considering market dynamics, the actual expenditure for the full year is expected to be towards the lower end of this range.  The latest reports suggest that due to the delays in Intel’s 3-nanometer outsourcing and the postponement of the 4-nanometer production schedule at the US fab, approximately $4 billion originally earmarked for this year’s capital expenditure may be postponed until next year, resulting in capital expenditure for this year falling below $30 billion. As for next year’s capital expenditure, it may remain on par with this year.  ASML, a leading supplier of semiconductor lithography equipment, previously revealed in its July earnings conference that there were delays in shipments of EUV equipment due to installation delays at customer factories. However, ASML maintained a robust order backlog and expects overall performance to continue growing in 2024.  Industry experts believe that the “installation delays” mentioned by ASML at that time were related to TSMC, and because of the delay in EUV equipment installation, TSMC’s capital expenditure for this year may be deferred accordingly.  Analysts in the industry suggest that if we consider TSMC’s earlier projection of capital expenditure falling within the $32 billion to $36 billion range, and subtract the actual expenses incurred in the first half of the year, the capital expenditure for the second half of the year could see a decline, estimated to be around $13.89 billion or more. If the postponement rumors materialize, second-half capital expenditure might fall below $10 billion.
2023-10-17 11:03 阅读量:1192
  • 一周热料
  • 紧缺物料秒杀
型号 品牌 询价
BD71847AMWV-E2 ROHM Semiconductor
RB751G-40T2R ROHM Semiconductor
MC33074DR2G onsemi
CDZVT2R20B ROHM Semiconductor
TL431ACLPR Texas Instruments
型号 品牌 抢购
BU33JA2MNVX-CTL ROHM Semiconductor
IPZ40N04S5L4R8ATMA1 Infineon Technologies
ESR03EZPJ151 ROHM Semiconductor
STM32F429IGT6 STMicroelectronics
TPS63050YFFR Texas Instruments
BP3621 ROHM Semiconductor
热门标签
ROHM
Aavid
Averlogic
开发板
SUSUMU
NXP
PCB
传感器
半导体
相关百科
关于我们
AMEYA360微信服务号 AMEYA360微信服务号
AMEYA360商城(www.ameya360.com)上线于2011年,现 有超过3500家优质供应商,收录600万种产品型号数据,100 多万种元器件库存可供选购,产品覆盖MCU+存储器+电源芯 片+IGBT+MOS管+运放+射频蓝牙+传感器+电阻电容电感+ 连接器等多个领域,平台主营业务涵盖电子元器件现货销售、 BOM配单及提供产品配套资料等,为广大客户提供一站式购 销服务。