Intel, G’foundries Bring 10, 7nm to IEDM

发布时间:2017-10-19 00:00
作者:Ameya360
来源: Rick Merritt
阅读量:918

  Intel and Globalfoundries will describe their 10nm and 7nm process nodes, respectively at the International Electron Devices Meeting (IEDM) in December. The event also will host papers pointing to new directions in memories, medical and flexible electronics and transistors beyond today’s FinFETs.

  Intel will discuss several aspects of its 10nm node first unveiled in March. It sports FinFETs with a 7nm fin width at a 34nm pitch and a 46nm fin height made using self-aligned quadruple patterning. A 204 Mbit SRAM made in the process packs separate high-density, low voltage and high-performance cells that measure from 0.0312?m2 to 0.0441?m2.

  The 12-metal interconnect layers in the node can support multiple threshold voltages. Compared to its 14nm process, the 10nm node sports NMOS and PMOS current that is 71 percent and 35 percent greater. Cobalt wires in the lowest two metal layers offer up to 10x improvement in electro-migration and a 2x reduction in via resistance.

  For its part, Globalfoundries will detail a 0.0269?m2 SRAM cell made in its 7nm FinFET process tat was discussed at a September company event. Compared to its current 14nm process licensed from Samsung, the 7nm node should deliver 2.8x better routed logic density and more than 40 percent more performance or 55 percent lower power.

  Like Intel, the Globalfoundries node will support a range of threshold voltages. It uses self-aligned quad patterning to make fins and double patterning for metallization.

  A handful of papers will provide a view of research on the possible successors to the FinFET as a basic electronics switch.

  Imec researchers will present at least three papers on the subject, including oneon what it claims is the first circuit built with stacked silicon nanowires. The working ring oscillator used a new metallization process for n-type devices that led to greater control of threshold voltage.

  The MOSFET structures essentially wrap a gate around a nanowire to act as a transistor channel. In a separate paper, Imec will report performance characteristics of nanowires and nanosheets, arrays of the gate-all-around nanowires. Vertical test devices built in InGaAs showed Ion performance of 397?A/?m and peak transconductance of 1.6S/?m at Vds=0.5V.

  Separately, Globalfoundries will describe a ring oscillator built with 14nm ferroelectric FinFETs. It ran at the same frequencies but lower power than similar devices in silicon. The doped hafnia structures have negative capacitance, so they could be used in commercial fabs, it said.

  In memory papers, Macronix will report an advance in 3D NAND and SK Hynix will share work on ReRAM. In addition, IBM and CEA-Leti will give separate talks on their work in monolithic 3D integration.

  In heath care, Swiss researchers will describe a low power sensor made using FD-SOI that can collect and measure in real time biomarkers from sweat. Separately, a team led by the Houston Methodist Research Institute will describe implantable devices enabling controlled release over time of drugs or hormones via nanofluidic channels.

  Pointing to new directions in flexible electronics, researchers from the University of Texas at Austin will discuss how they built a variety of two- and three-terminal graphene and MoS2 devices on paper. The graphene devices achieved a record 25 GHz cutoff frequency and performance remained high even when the paper was rolled into a two-inch diameter roll.

(备注:文章来源于网络,信息仅供参考,不代表本网站观点,如有侵权请联系删除!)

在线留言询价

相关阅读
热门分类
  • 一周热料
  • 紧缺物料秒杀
型号 品牌 询价
型号 品牌 抢购
热门标签
原厂授权品牌
资讯排行榜
  • 周排行榜
  • 月排行榜
相关百科
关于我们
AMEYA360微信服务号 AMEYA360微信服务号
AMEYA360商城(www.ameya360.com)上线于2011年,现 有超过3500家优质供应商,收录600万种产品型号数据,100 多万种元器件库存可供选购,产品覆盖MCU+存储器+电源芯 片+IGBT+MOS管+运放+射频蓝牙+传感器+电阻电容电感+ 连接器等多个领域,平台主营业务涵盖电子元器件现货销售、 BOM配单及提供产品配套资料等,为广大客户提供一站式购 销服务。